1module foo();
2  foo f();
3endmodule
4
5initial $finish;
6