1entity crash is end;
2
3architecture behav of crash is
4  constant data_width : natural := 8;
5  type data_type is record
6    data: bit_vector(data_width-1 downto 0);
7    enable: bit;
8  end record data_type;
9  type port_type is array(0 to 15) of data_type;
10  signal s : port_type;
11begin
12  s(s'range).enable <= '0';
13end behav;
14
15