1import cocotb
2from cocotb.triggers import Timer
3
4
5@cocotb.test()
6def test_transaction(dut):
7
8    yield Timer(1)
9
10    dut._log.info("%d" % dut.s_test)
11    dut._log.info("%d" % dut.cmp_bar.s_test)
12