1vunit issue_vunit (issue(psl)) {
2
3  -- All is sensitive to rising edge of clk
4  default clock is rising_edge(clk);
5
6  -- GHDL crash if condition evaluates to true
7  test_g : if true generate
8
9    -- This assertion holds
10    CHECK_0_a : assert always (a -> b);
11
12  end generate test_g;
13
14}
15