1
2-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
3
4-- This file is part of VESTs (Vhdl tESTs).
5
6-- VESTs is free software; you can redistribute it and/or modify it
7-- under the terms of the GNU General Public License as published by the
8-- Free Software Foundation; either version 2 of the License, or (at
9-- your option) any later version.
10
11-- VESTs is distributed in the hope that it will be useful, but WITHOUT
12-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
13-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
14-- for more details.
15
16-- You should have received a copy of the GNU General Public License
17-- along with VESTs; if not, write to the Free Software Foundation,
18-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
19
20library project;
21
22entity inline_02 is
23end entity inline_02;
24
25
26architecture test of inline_02 is
27begin
28
29  process is
30
31    use project.mem_pkg;
32    use project.mem_pkg.all;
33    variable words : word_array(0 to 3);
34
35  begin
36    assert
37    -- code from book (in text)
38    mem_pkg'path_name = ":project:mem_pkg:"
39    -- end code from book
40    ;
41    report mem_pkg'path_name;
42
43    assert
44    -- code from book (in text)
45    word'path_name = ":project:mem_pkg:word"
46    -- end code from book
47    ;
48    report word'path_name;
49
50    assert
51    -- code from book (in text)
52    word_array'path_name = ":project:mem_pkg:word_array"
53    -- end code from book
54    ;
55
56    report word_array'path_name;
57
58    assert
59    -- code from book (in text)
60    load_array'path_name = ":project:mem_pkg:load_array"
61    -- end code from book
62    ;
63    report load_array'path_name;
64
65    load_array(words, "/dev/null");
66    wait;
67  end process;
68
69end architecture test;
70