1module test #(parameter integer p1 = 5, parameter p2 = 7,
2 parameter r1 = 7.3) (
3 input [7:0] a,
4 input signed [7:0] b, c, d, // multiple port that share attributes
5 output [7:0] e,
6 output signed [7:0] f,g,
7 output signed [7:0] h) ;
8
9 task my_task(input a, b, inout c, output signed [15:0] d, e);
10  begin
11   c = a;
12   d = f;
13   e= 2*f;
14  end
15 endtask
16
17endmodule
18
19