1module c2670 (
2n2678,
3n8,
4n651,
5n2,
6n123,
7n34,
8n1971,
9n94,
10n4,
11n543,
12n61,
13n53,
14n120,
15n1341,
16n16,
17n55,
18n63,
19n95,
20n452,
21n136,
22n65,
23n1961,
24n27,
25n113,
26n92,
27n2443,
28n74,
29n2427,
30n1,
31n14,
32n1384,
33n128,
34n101,
35n80,
36n1348,
37n2106,
38n116,
39n43,
40n49,
41n78,
42n567,
43n142,
44n119,
45n89,
46n114,
47n11,
48n1956,
49n141,
50n21,
51n105,
52n36,
53n124,
54n103,
55n69,
56n81,
57n40,
58n32,
59n25,
60n1976,
61n76,
62n131,
63n2430,
64n661,
65n57,
66n2104,
67n111,
68n1991,
69n67,
70n20,
71n29,
72n126,
73n47,
74n107,
75n50,
76n2066,
77n1981,
78n73,
79n62,
80n52,
81n91,
82n87,
83n129,
84n139,
85n23,
86n130,
87n127,
88n137,
89n22,
90n72,
91n118,
92n2096,
93n88,
94n54,
95n19,
96n2100,
97n75,
98n28,
99n60,
100n64,
101n82,
102n7,
103n2067,
104n1966,
105n2078,
106n2084,
107n51,
108n140,
109n112,
110n48,
111n2438,
112n35,
113n135,
114n104,
115n2454,
116n85,
117n132,
118n117,
119n2105,
120n93,
121n2435,
122n37,
123n108,
124n100,
125n3,
126n483,
127n115,
128n102,
129n2446,
130n99,
131n26,
132n2451,
133n868,
134n86,
135n5,
136n1996,
137n68,
138n1986,
139n1083,
140n2090,
141n15,
142n79,
143n96,
144n138,
145n2474,
146n56,
147n66,
148n77,
149n106,
150n24,
151n125,
152n559,
153n44,
154n2072,
155n33,
156n6,
157n860,
158n90,
159n227,
160n259,
161n286,
162n223,
163n350,
164n329,
165n319,
166n295,
167n238,
168n217,
169n168,
170n261,
171n237,
172n188,
173n218,
174n311,
175n158,
176n171,
177n288,
178n221,
179n220,
180n321,
181n308,
182n284,
183n297,
184n229,
185n325,
186n282,
187n234,
188n369,
189n145,
190n162,
191n335,
192n367,
193n148,
194n166,
195n409,
196n391,
197n323,
198n290,
199n384,
200n301,
201n164,
202n397,
203n160,
204n401,
205n235,
206n280,
207n411,
208n305,
209n173,
210n156,
211n150,
212n225,
213n176,
214n236,
215n337,
216n331,
217n153,
218n303,
219n219,
220n395,
221n299);
222
223// Start PIs
224input n2678;
225input n8;
226input n651;
227input n2;
228input n123;
229input n34;
230input n1971;
231input n94;
232input n4;
233input n543;
234input n61;
235input n53;
236input n120;
237input n1341;
238input n16;
239input n55;
240input n63;
241input n95;
242input n452;
243input n136;
244input n65;
245input n1961;
246input n27;
247input n113;
248input n92;
249input n2443;
250input n74;
251input n2427;
252input n1;
253input n14;
254input n1384;
255input n128;
256input n101;
257input n80;
258input n1348;
259input n2106;
260input n116;
261input n43;
262input n49;
263input n78;
264input n567;
265input n142;
266input n119;
267input n89;
268input n114;
269input n11;
270input n1956;
271input n141;
272input n21;
273input n105;
274input n36;
275input n124;
276input n103;
277input n69;
278input n81;
279input n40;
280input n32;
281input n25;
282input n1976;
283input n76;
284input n131;
285input n2430;
286input n661;
287input n57;
288input n2104;
289input n111;
290input n1991;
291input n67;
292input n20;
293input n29;
294input n126;
295input n47;
296input n107;
297input n50;
298input n2066;
299input n1981;
300input n73;
301input n62;
302input n52;
303input n91;
304input n87;
305input n129;
306input n139;
307input n23;
308input n130;
309input n127;
310input n137;
311input n22;
312input n72;
313input n118;
314input n2096;
315input n88;
316input n54;
317input n19;
318input n2100;
319input n75;
320input n28;
321input n60;
322input n64;
323input n82;
324input n7;
325input n2067;
326input n1966;
327input n2078;
328input n2084;
329input n51;
330input n140;
331input n112;
332input n48;
333input n2438;
334input n35;
335input n135;
336input n104;
337input n2454;
338input n85;
339input n132;
340input n117;
341input n2105;
342input n93;
343input n2435;
344input n37;
345input n108;
346input n100;
347input n3;
348input n483;
349input n115;
350input n102;
351input n2446;
352input n99;
353input n26;
354input n2451;
355input n868;
356input n86;
357input n5;
358input n1996;
359input n68;
360input n1986;
361input n1083;
362input n2090;
363input n15;
364input n79;
365input n96;
366input n138;
367input n2474;
368input n56;
369input n66;
370input n77;
371input n106;
372input n24;
373input n125;
374input n559;
375input n44;
376input n2072;
377input n33;
378input n6;
379input n860;
380input n90;
381
382// Start POs
383output n227;
384output n259;
385output n286;
386output n223;
387output n350;
388output n329;
389output n319;
390output n295;
391output n238;
392output n217;
393output n168;
394output n261;
395output n237;
396output n188;
397output n218;
398output n311;
399output n158;
400output n171;
401output n288;
402output n221;
403output n220;
404output n321;
405output n308;
406output n284;
407output n297;
408output n229;
409output n325;
410output n282;
411output n234;
412output n369;
413output n145;
414output n162;
415output n335;
416output n367;
417output n148;
418output n166;
419output n409;
420output n391;
421output n323;
422output n290;
423output n384;
424output n301;
425output n164;
426output n397;
427output n160;
428output n401;
429output n235;
430output n280;
431output n411;
432output n305;
433output n173;
434output n156;
435output n150;
436output n225;
437output n176;
438output n236;
439output n337;
440output n331;
441output n153;
442output n303;
443output n219;
444output n395;
445output n299;
446
447// Start wires
448wire n2678;
449wire net_47;
450wire n2;
451wire net_176;
452wire n319;
453wire net_215;
454wire net_137;
455wire n1971;
456wire net_132;
457wire net_54;
458wire net_237;
459wire n94;
460wire net_105;
461wire n543;
462wire n61;
463wire n53;
464wire net_129;
465wire net_119;
466wire net_98;
467wire n1341;
468wire net_12;
469wire net_151;
470wire net_53;
471wire net_93;
472wire net_210;
473wire n63;
474wire n220;
475wire net_168;
476wire n136;
477wire n1961;
478wire n27;
479wire n113;
480wire net_259;
481wire net_269;
482wire net_127;
483wire n74;
484wire n391;
485wire n1;
486wire n14;
487wire net_76;
488wire n128;
489wire n101;
490wire net_101;
491wire n160;
492wire net_187;
493wire n1348;
494wire net_111;
495wire net_264;
496wire net_90;
497wire net_225;
498wire n116;
499wire net_100;
500wire n150;
501wire n43;
502wire net_85;
503wire n78;
504wire n567;
505wire net_263;
506wire net_252;
507wire net_124;
508wire n142;
509wire n119;
510wire n176;
511wire net_240;
512wire net_160;
513wire n1956;
514wire n141;
515wire net_221;
516wire n21;
517wire net_115;
518wire n153;
519wire n105;
520wire net_4;
521wire n36;
522wire n124;
523wire net_17;
524wire n286;
525wire n223;
526wire net_164;
527wire n81;
528wire net_87;
529wire net_0;
530wire n32;
531wire net_35;
532wire n25;
533wire n1976;
534wire net_16;
535wire n131;
536wire net_239;
537wire net_193;
538wire net_157;
539wire net_257;
540wire n57;
541wire net_233;
542wire net_42;
543wire net_120;
544wire n308;
545wire net_201;
546wire net_109;
547wire n67;
548wire net_80;
549wire net_65;
550wire net_50;
551wire n297;
552wire n234;
553wire net_234;
554wire net_96;
555wire net_66;
556wire net_38;
557wire net_167;
558wire n409;
559wire n47;
560wire net_207;
561wire net_136;
562wire net_280;
563wire net_19;
564wire net_126;
565wire net_278;
566wire n173;
567wire n73;
568wire net_34;
569wire net_108;
570wire net_270;
571wire n87;
572wire n129;
573wire n139;
574wire net_183;
575wire n130;
576wire n303;
577wire net_150;
578wire net_63;
579wire net_274;
580wire n22;
581wire n72;
582wire n118;
583wire n350;
584wire n238;
585wire net_30;
586wire n2096;
587wire net_189;
588wire n19;
589wire net_99;
590wire net_24;
591wire net_186;
592wire net_46;
593wire n60;
594wire n64;
595wire net_118;
596wire n82;
597wire n7;
598wire net_216;
599wire n2067;
600wire net_146;
601wire n171;
602wire n2078;
603wire net_122;
604wire net_7;
605wire n51;
606wire net_224;
607wire n2438;
608wire n35;
609wire net_172;
610wire net_52;
611wire net_165;
612wire n166;
613wire n290;
614wire n384;
615wire n2454;
616wire net_13;
617wire net_246;
618wire net_94;
619wire n85;
620wire n132;
621wire n2105;
622wire n93;
623wire net_219;
624wire n2435;
625wire net_18;
626wire net_131;
627wire net_114;
628wire net_196;
629wire net_29;
630wire n331;
631wire n108;
632wire net_149;
633wire net_142;
634wire net_248;
635wire net_31;
636wire n3;
637wire n483;
638wire net_36;
639wire net_158;
640wire n115;
641wire n102;
642wire net_41;
643wire net_198;
644wire net_253;
645wire net_276;
646wire n26;
647wire net_209;
648wire n261;
649wire net_3;
650wire net_154;
651wire n868;
652wire n86;
653wire net_213;
654wire n1996;
655wire n158;
656wire net_238;
657wire net_260;
658wire net_28;
659wire n321;
660wire n1986;
661wire n1083;
662wire n284;
663wire n15;
664wire n79;
665wire n96;
666wire net_97;
667wire n229;
668wire n325;
669wire n2474;
670wire n66;
671wire n335;
672wire net_182;
673wire net_192;
674wire net_60;
675wire net_267;
676wire net_273;
677wire net_256;
678wire n397;
679wire net_58;
680wire net_82;
681wire n280;
682wire n235;
683wire net_64;
684wire net_121;
685wire net_73;
686wire n44;
687wire net_200;
688wire n236;
689wire net_177;
690wire net_86;
691wire net_75;
692wire net_206;
693wire net_195;
694wire net_125;
695wire n860;
696wire net_166;
697wire net_107;
698wire net_223;
699wire n651;
700wire n8;
701wire net_179;
702wire net_235;
703wire net_159;
704wire n123;
705wire net_61;
706wire n34;
707wire n4;
708wire net_62;
709wire n188;
710wire net_6;
711wire n120;
712wire net_217;
713wire n311;
714wire net_271;
715wire net_23;
716wire net_117;
717wire n16;
718wire net_74;
719wire n55;
720wire net_250;
721wire net_205;
722wire net_135;
723wire n95;
724wire n452;
725wire net_265;
726wire net_242;
727wire n65;
728wire net_130;
729wire n92;
730wire net_147;
731wire n2443;
732wire n162;
733wire n367;
734wire net_220;
735wire n323;
736wire net_14;
737wire n2427;
738wire net_113;
739wire net_26;
740wire n1384;
741wire net_32;
742wire n80;
743wire n2106;
744wire net_40;
745wire n411;
746wire n49;
747wire net_69;
748wire net_161;
749wire n114;
750wire n89;
751wire net_141;
752wire n11;
753wire net_83;
754wire net_95;
755wire net_173;
756wire n103;
757wire net_78;
758wire net_27;
759wire n69;
760wire n295;
761wire net_56;
762wire n40;
763wire net_155;
764wire net_261;
765wire net_191;
766wire net_22;
767wire net_181;
768wire n76;
769wire n2430;
770wire net_39;
771wire net_245;
772wire net_227;
773wire net_144;
774wire net_102;
775wire net_2;
776wire net_59;
777wire n661;
778wire net_9;
779wire n111;
780wire n2104;
781wire n288;
782wire n1991;
783wire net_162;
784wire n20;
785wire n29;
786wire n145;
787wire net_230;
788wire net_44;
789wire n126;
790wire net_277;
791wire n301;
792wire net_199;
793wire net_134;
794wire n107;
795wire n50;
796wire n2066;
797wire net_89;
798wire net_45;
799wire n1981;
800wire n305;
801wire net_185;
802wire n52;
803wire n62;
804wire n91;
805wire net_272;
806wire n23;
807wire net_178;
808wire n337;
809wire n127;
810wire n219;
811wire net_236;
812wire net_208;
813wire n137;
814wire net_212;
815wire net_243;
816wire n299;
817wire n227;
818wire net_222;
819wire net_152;
820wire n329;
821wire net_116;
822wire n54;
823wire n88;
824wire net_175;
825wire net_91;
826wire n2100;
827wire n237;
828wire n75;
829wire net_106;
830wire net_55;
831wire n28;
832wire net_258;
833wire net_255;
834wire net_140;
835wire net_266;
836wire net_247;
837wire net_279;
838wire net_148;
839wire net_104;
840wire n1966;
841wire net_72;
842wire n221;
843wire net_25;
844wire net_229;
845wire n2084;
846wire net_70;
847wire n140;
848wire net_251;
849wire n48;
850wire n112;
851wire net_194;
852wire net_241;
853wire n369;
854wire net_5;
855wire net_244;
856wire net_128;
857wire n135;
858wire n104;
859wire n164;
860wire net_138;
861wire net_184;
862wire n117;
863wire net_11;
864wire net_123;
865wire n37;
866wire net_262;
867wire net_170;
868wire net_68;
869wire net_214;
870wire net_77;
871wire net_249;
872wire net_20;
873wire n100;
874wire net_49;
875wire n259;
876wire net_15;
877wire net_275;
878wire net_57;
879wire n2446;
880wire n217;
881wire n168;
882wire net_71;
883wire n99;
884wire net_153;
885wire net_156;
886wire net_218;
887wire net_84;
888wire net_174;
889wire net_231;
890wire n2451;
891wire n218;
892wire net_112;
893wire net_92;
894wire net_1;
895wire net_103;
896wire net_226;
897wire net_139;
898wire n5;
899wire net_43;
900wire net_228;
901wire net_10;
902wire net_180;
903wire n68;
904wire net_169;
905wire net_21;
906wire net_51;
907wire n2090;
908wire net_171;
909wire net_79;
910wire net_143;
911wire n138;
912wire n282;
913wire net_190;
914wire n56;
915wire net_88;
916wire n148;
917wire net_145;
918wire net_197;
919wire n77;
920wire net_204;
921wire net_81;
922wire n24;
923wire n106;
924wire net_232;
925wire net_163;
926wire n401;
927wire n559;
928wire n125;
929wire net_254;
930wire net_67;
931wire net_202;
932wire net_37;
933wire n156;
934wire net_268;
935wire net_188;
936wire net_110;
937wire n225;
938wire net_48;
939wire net_33;
940wire n2072;
941wire net_8;
942wire net_211;
943wire net_133;
944wire n6;
945wire n33;
946wire net_203;
947wire n395;
948wire n90;
949
950// Start cells
951MUX2_X2 inst_257 ( .A(n299), .Z(n297), .B(n286), .S(n868) );
952INV_X1 inst_290 ( .ZN(net_61), .A(n2104) );
953NAND3_X1 inst_145 ( .ZN(net_17), .A3(n76), .A2(n651), .A1(n543) );
954MUX2_X2 inst_272 ( .B(net_212), .Z(net_150), .A(n4), .S(n16) );
955NOR2_X1 inst_103 ( .ZN(net_168), .A2(net_157), .A1(n1996) );
956MUX2_X2 inst_248 ( .Z(net_29), .S(n2104), .A(n123), .B(n111) );
957NAND2_X1 inst_228 ( .ZN(net_241), .A2(n286), .A1(n8) );
958NAND4_X1 inst_125 ( .ZN(net_131), .A3(net_88), .A2(net_71), .A4(net_63), .A1(net_35) );
959NAND2_X1 inst_207 ( .ZN(net_81), .A2(net_80), .A1(n62) );
960NAND4_X1 inst_138 ( .ZN(net_215), .A4(net_197), .A2(net_179), .A3(net_166), .A1(net_161) );
961NAND3_X1 inst_159 ( .A3(net_60), .ZN(net_50), .A1(n2104), .A2(n105) );
962NAND4_X1 inst_134 ( .ZN(net_246), .A2(net_102), .A3(net_98), .A4(net_44), .A1(net_20) );
963NAND2_X1 inst_244 ( .ZN(net_272), .A1(net_257), .A2(net_254) );
964AND3_X4 inst_333 ( .ZN(net_234), .A1(net_187), .A3(net_186), .A2(n290) );
965NAND4_X1 inst_131 ( .A3(net_73), .A4(net_72), .A2(net_41), .A1(net_1), .ZN(n305) );
966NAND2_X1 inst_214 ( .ZN(net_90), .A2(net_27), .A1(n543) );
967NAND3_X1 inst_180 ( .ZN(net_270), .A1(net_269), .A3(net_262), .A2(net_250) );
968NAND3_X1 inst_160 ( .A3(net_53), .ZN(net_51), .A1(n543), .A2(n54) );
969XNOR2_X1 inst_33 ( .ZN(net_112), .B(net_105), .A(net_4) );
970CLKBUF_X1 inst_328 ( .A(n297), .Z(n280) );
971INV_X1 inst_312 ( .A(net_266), .ZN(net_259) );
972XNOR2_X1 inst_47 ( .ZN(net_192), .B(net_191), .A(net_149) );
973XOR2_X1 inst_19 ( .Z(net_179), .B(net_137), .A(n1991) );
974INV_X1 inst_309 ( .A(net_186), .ZN(net_182) );
975XOR2_X1 inst_8 ( .Z(net_13), .A(n1986), .B(n1981) );
976NAND2_X1 inst_232 ( .ZN(net_160), .A2(net_159), .A1(n2072) );
977INV_X1 inst_301 ( .A(net_125), .ZN(n162) );
978INV_X1 inst_297 ( .A(net_109), .ZN(n164) );
979MUX2_X2 inst_247 ( .Z(net_28), .S(n2104), .A(n130), .B(n118) );
980XNOR2_X1 inst_27 ( .ZN(net_104), .A(net_9), .B(net_2) );
981NOR2_X1 inst_100 ( .A2(net_212), .ZN(net_191), .A1(n559) );
982INV_X1 inst_302 ( .A(net_126), .ZN(n160) );
983CLKBUF_X1 inst_322 ( .Z(n350), .A(n452) );
984INV_X1 inst_310 ( .ZN(net_202), .A(net_201) );
985MUX2_X2 inst_279 ( .B(net_246), .A(net_206), .Z(n145), .S(n860) );
986MUX2_X2 inst_253 ( .Z(net_109), .A(net_31), .B(net_30), .S(n2105) );
987NAND2_X1 inst_211 ( .A2(net_87), .ZN(net_86), .A1(n117) );
988NAND3_X1 inst_162 ( .ZN(net_54), .A3(net_53), .A1(n543), .A2(n51) );
989NOR2_X1 inst_93 ( .ZN(net_83), .A1(net_60), .A2(n2104) );
990NOR3_X1 inst_81 ( .ZN(net_209), .A1(net_200), .A3(net_171), .A2(net_146) );
991NAND4_X1 inst_139 ( .A2(net_264), .A1(net_219), .A3(net_158), .A4(net_156), .ZN(n150) );
992NAND3_X1 inst_155 ( .A1(net_61), .ZN(net_34), .A2(n2105), .A3(n127) );
993XNOR2_X1 inst_59 ( .ZN(net_273), .A(net_232), .B(net_231) );
994AND2_X4 inst_341 ( .ZN(net_239), .A1(net_238), .A2(net_236) );
995NAND4_X1 inst_135 ( .A2(net_0), .A4(n319), .ZN(n188), .A3(n661), .A1(n483) );
996NAND2_X1 inst_196 ( .ZN(net_67), .A2(net_42), .A1(n139) );
997XNOR2_X1 inst_55 ( .ZN(net_247), .A(net_203), .B(n299) );
998XNOR2_X1 inst_37 ( .ZN(net_134), .A(net_133), .B(n303) );
999NAND2_X1 inst_237 ( .ZN(net_198), .A2(net_186), .A1(n8) );
1000NAND3_X1 inst_148 ( .ZN(net_20), .A3(n80), .A2(n651), .A1(n543) );
1001MUX2_X2 inst_264 ( .Z(net_151), .B(n290), .A(n24), .S(n16) );
1002NAND2_X1 inst_191 ( .A2(net_64), .ZN(net_45), .A1(n85) );
1003NOR3_X1 inst_84 ( .A1(net_239), .A3(net_237), .ZN(n397), .A2(n37) );
1004XNOR2_X1 inst_51 ( .ZN(net_222), .B(net_180), .A(net_124) );
1005NAND4_X1 inst_142 ( .ZN(net_278), .A2(net_277), .A4(net_276), .A1(net_270), .A3(net_253) );
1006INV_X1 inst_315 ( .A(n308), .ZN(n225) );
1007NOR4_X1 inst_80 ( .ZN(net_262), .A4(net_261), .A2(net_259), .A3(net_210), .A1(n301) );
1008INV_X1 inst_303 ( .A(n301), .ZN(n171) );
1009INV_X1 inst_298 ( .A(net_189), .ZN(net_110) );
1010NAND3_X1 inst_173 ( .ZN(net_186), .A1(net_116), .A3(n160), .A2(n40) );
1011NAND2_X1 inst_224 ( .ZN(net_102), .A2(net_101), .A1(n67) );
1012NAND2_X1 inst_216 ( .ZN(net_94), .A2(net_93), .A1(n2106) );
1013NOR4_X1 inst_78 ( .ZN(net_248), .A4(net_247), .A3(net_214), .A1(net_189), .A2(net_185) );
1014CLKBUF_X1 inst_323 ( .Z(n337), .A(n2066) );
1015INV_X1 inst_287 ( .ZN(net_5), .A(n543) );
1016XNOR2_X1 inst_42 ( .B(net_246), .ZN(net_195), .A(net_189) );
1017NAND2_X1 inst_241 ( .ZN(net_205), .A1(net_187), .A2(net_186) );
1018NAND3_X1 inst_177 ( .ZN(net_200), .A1(net_170), .A3(net_163), .A2(net_130) );
1019NAND2_X1 inst_231 ( .ZN(net_158), .A2(net_157), .A1(n1996) );
1020MUX2_X2 inst_270 ( .Z(net_164), .B(n305), .A(n6), .S(n16) );
1021NAND2_X1 inst_183 ( .ZN(net_48), .A2(net_5), .A1(n651) );
1022XNOR2_X1 inst_26 ( .ZN(net_16), .A(n1996), .B(n1991) );
1023NAND3_X1 inst_151 ( .ZN(net_23), .A3(n79), .A2(n651), .A1(n543) );
1024OR4_X1 inst_64 ( .ZN(net_274), .A2(net_273), .A4(net_272), .A1(net_234), .A3(net_233) );
1025NOR2_X1 inst_107 ( .ZN(net_220), .A2(net_198), .A1(net_147) );
1026OR2_X4 inst_70 ( .ZN(net_231), .A2(net_205), .A1(n1996) );
1027NAND4_X1 inst_129 ( .A4(net_81), .A3(net_57), .A2(net_46), .A1(net_18), .ZN(n303) );
1028NOR2_X1 inst_92 ( .ZN(net_78), .A1(net_61), .A2(n2105) );
1029NAND2_X1 inst_189 ( .ZN(net_43), .A2(net_42), .A1(n131) );
1030NAND2_X1 inst_223 ( .ZN(net_100), .A2(net_80), .A1(n64) );
1031XOR2_X1 inst_11 ( .A(net_131), .B(net_128), .Z(net_124) );
1032NAND2_X1 inst_188 ( .A2(net_64), .ZN(net_41), .A1(n86) );
1033XOR2_X1 inst_14 ( .A(net_212), .Z(net_193), .B(n299) );
1034AND2_X4 inst_340 ( .ZN(net_224), .A1(net_223), .A2(net_222) );
1035XNOR2_X1 inst_31 ( .ZN(net_107), .B(net_16), .A(net_13) );
1036AND4_X1 inst_329 ( .ZN(net_264), .A1(net_245), .A2(net_209), .A4(net_173), .A3(net_154) );
1037MUX2_X2 inst_252 ( .Z(net_108), .A(net_32), .B(net_28), .S(n2105) );
1038NAND3_X1 inst_158 ( .A3(net_53), .ZN(net_49), .A1(n543), .A2(n49) );
1039NAND4_X1 inst_141 ( .ZN(net_279), .A4(net_274), .A2(net_258), .A3(net_256), .A1(net_218) );
1040XNOR2_X1 inst_62 ( .ZN(net_240), .A(net_238), .B(net_207) );
1041NAND2_X1 inst_200 ( .A2(net_78), .ZN(net_71), .A1(n104) );
1042MUX2_X2 inst_251 ( .Z(net_32), .S(n2104), .A(n142), .B(n106) );
1043INV_X1 inst_286 ( .ZN(n220), .A(n82) );
1044XNOR2_X1 inst_57 ( .ZN(net_214), .A(net_213), .B(net_212) );
1045AND2_X4 inst_338 ( .ZN(net_146), .A2(net_120), .A1(n2078) );
1046INV_X1 inst_300 ( .ZN(net_133), .A(n288) );
1047NOR2_X1 inst_102 ( .ZN(net_165), .A2(net_164), .A1(n1981) );
1048XNOR2_X1 inst_32 ( .ZN(net_142), .A(net_104), .B(net_6) );
1049NAND3_X1 inst_144 ( .ZN(n259), .A2(n661), .A3(n2), .A1(n15) );
1050NAND2_X1 inst_195 ( .ZN(net_66), .A2(net_64), .A1(n81) );
1051XOR2_X1 inst_21 ( .Z(net_266), .A(net_227), .B(net_226) );
1052MUX2_X2 inst_281 ( .B(net_280), .A(net_279), .S(net_278), .Z(n329) );
1053NOR2_X1 inst_97 ( .A2(net_93), .A1(net_91), .ZN(n325) );
1054NAND4_X1 inst_124 ( .ZN(net_126), .A3(net_89), .A2(net_84), .A4(net_62), .A1(net_56) );
1055XOR2_X1 inst_18 ( .Z(net_178), .B(net_141), .A(n1956) );
1056NAND2_X1 inst_208 ( .A2(net_83), .ZN(net_82), .A1(n124) );
1057NOR3_X1 inst_88 ( .A1(net_271), .A3(n401), .ZN(n308), .A2(n227) );
1058CLKBUF_X1 inst_316 ( .Z(n411), .A(n2066) );
1059NAND2_X1 inst_220 ( .A2(net_97), .ZN(n234), .A1(n567) );
1060XOR2_X1 inst_9 ( .Z(net_14), .A(n2090), .B(n2084) );
1061NOR2_X1 inst_113 ( .ZN(net_221), .A2(net_220), .A1(net_202) );
1062NAND2_X1 inst_198 ( .A2(net_78), .ZN(net_69), .A1(n103) );
1063XNOR2_X1 inst_50 ( .ZN(net_238), .B(net_148), .A(net_134) );
1064NAND4_X1 inst_137 ( .ZN(net_208), .A1(net_190), .A2(net_178), .A3(net_177), .A4(net_176) );
1065MUX2_X2 inst_245 ( .Z(net_26), .B(n68), .A(n56), .S(n543) );
1066NAND4_X1 inst_130 ( .A4(net_76), .A3(net_75), .A2(net_39), .A1(net_24), .ZN(n299) );
1067NAND2_X1 inst_227 ( .A2(net_110), .ZN(n153), .A1(n860) );
1068NAND2_X1 inst_226 ( .ZN(net_111), .A1(net_94), .A2(net_92) );
1069MUX2_X2 inst_260 ( .Z(net_159), .B(net_122), .A(n33), .S(n29) );
1070NAND3_X1 inst_176 ( .ZN(net_199), .A3(net_160), .A2(net_121), .A1(n11) );
1071XNOR2_X1 inst_58 ( .ZN(net_252), .B(net_220), .A(net_201) );
1072NAND3_X1 inst_147 ( .ZN(net_19), .A2(n2105), .A1(n2104), .A3(n115) );
1073INV_X1 inst_313 ( .ZN(net_251), .A(net_250) );
1074NOR3_X1 inst_87 ( .ZN(net_275), .A2(net_273), .A3(net_272), .A1(net_235) );
1075INV_X1 inst_293 ( .ZN(n236), .A(n120) );
1076XNOR2_X1 inst_61 ( .ZN(net_235), .A(net_234), .B(net_233) );
1077NAND2_X1 inst_203 ( .ZN(net_75), .A2(net_74), .A1(n53) );
1078NAND2_X1 inst_212 ( .ZN(net_88), .A2(net_87), .A1(n116) );
1079NAND2_X1 inst_234 ( .ZN(net_166), .A2(net_164), .A1(n1981) );
1080XOR2_X1 inst_0 ( .Z(net_2), .A(n2446), .B(n2443) );
1081AND3_X4 inst_335 ( .ZN(net_242), .A3(net_184), .A1(net_174), .A2(n8) );
1082NAND2_X1 inst_184 ( .A2(net_64), .ZN(net_37), .A1(n90) );
1083NAND2_X1 inst_236 ( .A2(net_186), .ZN(net_174), .A1(n1966) );
1084XOR2_X1 inst_10 ( .Z(net_15), .A(n2678), .B(n2067) );
1085XOR2_X1 inst_4 ( .Z(net_8), .A(n2100), .B(n2096) );
1086OR3_X4 inst_65 ( .ZN(net_256), .A3(net_255), .A1(net_232), .A2(net_231) );
1087XNOR2_X1 inst_28 ( .ZN(net_143), .B(net_10), .A(net_7) );
1088NAND2_X1 inst_242 ( .ZN(net_218), .A1(net_217), .A2(net_216) );
1089MUX2_X2 inst_275 ( .Z(net_210), .S(net_186), .A(n2078), .B(n1961) );
1090NOR2_X1 inst_117 ( .A2(net_255), .ZN(net_254), .A1(net_230) );
1091NOR2_X1 inst_98 ( .ZN(net_116), .A2(n164), .A1(n1384) );
1092MUX2_X2 inst_263 ( .Z(net_155), .B(net_131), .S(n29), .A(n26) );
1093NAND2_X1 inst_190 ( .A2(net_64), .ZN(net_44), .A1(n93) );
1094NAND2_X1 inst_204 ( .A2(net_80), .ZN(net_76), .A1(n65) );
1095NAND2_X1 inst_185 ( .A2(net_42), .ZN(net_38), .A1(n136) );
1096XNOR2_X1 inst_49 ( .ZN(net_196), .B(net_195), .A(net_135) );
1097NAND3_X1 inst_154 ( .A1(net_61), .ZN(net_33), .A2(n2105), .A3(n119) );
1098XOR2_X1 inst_13 ( .A(net_212), .B(net_189), .Z(net_149) );
1099OR2_X2 inst_75 ( .ZN(net_173), .A2(net_169), .A1(n2090) );
1100AND3_X4 inst_332 ( .A3(net_145), .A1(net_144), .ZN(n401), .A2(n14) );
1101NAND3_X1 inst_166 ( .A1(net_61), .A3(net_60), .ZN(net_58), .A2(n141) );
1102NOR2_X1 inst_116 ( .A1(net_238), .ZN(net_237), .A2(net_236) );
1103CLKBUF_X1 inst_324 ( .Z(n335), .A(n452) );
1104NAND3_X1 inst_163 ( .A3(net_60), .ZN(net_55), .A1(n2104), .A2(n100) );
1105XNOR2_X1 inst_54 ( .ZN(net_236), .A(net_196), .B(net_193) );
1106NOR4_X1 inst_79 ( .A4(net_261), .ZN(net_260), .A3(net_259), .A1(net_244), .A2(net_243) );
1107NOR2_X1 inst_109 ( .ZN(net_226), .A2(net_198), .A1(n1976) );
1108NOR2_X1 inst_106 ( .ZN(net_197), .A2(net_165), .A1(net_152) );
1109NAND2_X1 inst_219 ( .A2(net_97), .ZN(n217), .A1(n2106) );
1110NAND2_X1 inst_201 ( .A2(net_80), .ZN(net_72), .A1(n61) );
1111XNOR2_X1 inst_43 ( .ZN(net_167), .B(net_119), .A(n1976) );
1112INV_X1 inst_304 ( .A(n286), .ZN(n168) );
1113MUX2_X2 inst_255 ( .B(net_189), .Z(net_118), .A(n19), .S(n16) );
1114NAND4_X1 inst_128 ( .A4(net_70), .A3(net_54), .A2(net_40), .A1(net_17), .ZN(n286) );
1115OR2_X2 inst_73 ( .ZN(net_121), .A2(net_120), .A1(n2078) );
1116MUX2_X2 inst_256 ( .Z(net_119), .B(n288), .A(n23), .S(n16) );
1117XOR2_X1 inst_23 ( .Z(net_269), .A(net_244), .B(net_243) );
1118AND2_X4 inst_339 ( .ZN(net_162), .A2(net_155), .A1(n2067) );
1119NOR2_X1 inst_94 ( .ZN(net_74), .A1(net_5), .A2(n651) );
1120MUX2_X2 inst_262 ( .Z(net_153), .B(net_126), .A(n34), .S(n29) );
1121CLKBUF_X1 inst_325 ( .A(n331), .Z(n295) );
1122NAND2_X1 inst_243 ( .ZN(net_257), .A1(net_229), .A2(net_228) );
1123INV_X1 inst_285 ( .ZN(net_60), .A(n2105) );
1124XOR2_X1 inst_15 ( .Z(net_163), .B(net_118), .A(n1341) );
1125NAND2_X1 inst_218 ( .ZN(net_96), .A2(net_26), .A1(n651) );
1126NAND2_X1 inst_197 ( .A2(net_74), .ZN(net_68), .A1(n52) );
1127MUX2_X2 inst_250 ( .Z(net_31), .S(n2104), .A(n138), .B(n102) );
1128NAND3_X1 inst_179 ( .ZN(net_253), .A3(net_252), .A1(net_227), .A2(net_226) );
1129AND2_X2 inst_343 ( .ZN(n173), .A1(n94), .A2(n452) );
1130XNOR2_X1 inst_24 ( .ZN(net_3), .A(n1976), .B(n1971) );
1131XOR2_X1 inst_6 ( .Z(net_10), .A(n1348), .B(n1341) );
1132NOR2_X1 inst_114 ( .ZN(net_225), .A1(net_223), .A2(net_222) );
1133NAND2_X1 inst_194 ( .ZN(net_65), .A2(net_64), .A1(n87) );
1134AND2_X4 inst_337 ( .ZN(net_244), .A2(n303), .A1(n8) );
1135NOR4_X1 inst_76 ( .ZN(net_219), .A4(net_199), .A2(net_172), .A3(net_168), .A1(net_162) );
1136NAND3_X1 inst_150 ( .ZN(net_22), .A2(n2105), .A1(n2104), .A3(n112) );
1137NAND3_X1 inst_172 ( .A1(net_90), .A2(net_77), .A3(net_45), .ZN(n290) );
1138MUX2_X2 inst_277 ( .A(net_189), .B(net_188), .Z(n323), .S(n868) );
1139NOR3_X1 inst_83 ( .A1(net_225), .A3(net_224), .ZN(n395), .A2(n37) );
1140NAND4_X1 inst_121 ( .A4(net_65), .A1(net_49), .A2(net_48), .A3(net_36), .ZN(n288) );
1141NAND4_X1 inst_123 ( .ZN(net_125), .A2(net_82), .A1(net_55), .A4(net_38), .A3(net_22) );
1142INV_X1 inst_306 ( .ZN(net_147), .A(n305) );
1143INV_X1 inst_299 ( .A(net_111), .ZN(n319) );
1144XOR2_X1 inst_2 ( .Z(net_6), .A(n2438), .B(n2435) );
1145NOR3_X1 inst_86 ( .ZN(net_268), .A1(net_265), .A2(net_261), .A3(net_251) );
1146NAND4_X1 inst_118 ( .ZN(n158), .A3(n2090), .A4(n2084), .A1(n2078), .A2(n2072) );
1147XOR2_X1 inst_20 ( .Z(net_190), .B(net_150), .A(n1348) );
1148NAND3_X1 inst_153 ( .ZN(net_25), .A2(n2105), .A1(n2104), .A3(n107) );
1149XNOR2_X1 inst_38 ( .ZN(net_135), .A(n301), .B(n286) );
1150INV_X1 inst_295 ( .ZN(net_101), .A(net_48) );
1151XNOR2_X1 inst_52 ( .A(net_246), .ZN(net_206), .B(net_192) );
1152NOR2_X1 inst_90 ( .ZN(net_64), .A2(n651), .A1(n543) );
1153MUX2_X2 inst_267 ( .Z(net_139), .B(n301), .A(n5), .S(n16) );
1154NAND4_X1 inst_140 ( .ZN(net_277), .A3(net_269), .A4(net_268), .A1(net_266), .A2(net_211) );
1155NAND2_X1 inst_209 ( .ZN(net_84), .A2(net_83), .A1(n125) );
1156MUX2_X2 inst_259 ( .Z(net_130), .B(net_129), .S(n29), .A(n28) );
1157NAND2_X1 inst_221 ( .ZN(net_98), .A2(net_74), .A1(n55) );
1158XNOR2_X1 inst_40 ( .B(net_113), .A(net_107), .ZN(n229) );
1159CLKBUF_X1 inst_320 ( .Z(n369), .A(n1083) );
1160NAND3_X1 inst_167 ( .A1(net_61), .A3(net_60), .ZN(net_59), .A2(n135) );
1161MUX2_X2 inst_246 ( .Z(net_27), .B(n72), .S(n651), .A(n47) );
1162INV_X1 inst_289 ( .ZN(n219), .A(n132) );
1163NOR2_X1 inst_95 ( .ZN(net_80), .A1(net_53), .A2(n543) );
1164XOR2_X1 inst_1 ( .Z(net_4), .A(n2078), .B(n2072) );
1165INV_X1 inst_282 ( .ZN(n218), .A(n44) );
1166OR2_X4 inst_72 ( .ZN(net_280), .A2(net_279), .A1(net_275) );
1167AND3_X4 inst_331 ( .ZN(net_187), .A3(net_117), .A1(n160), .A2(n40) );
1168XNOR2_X1 inst_44 ( .ZN(net_223), .A(net_129), .B(net_127) );
1169MUX2_X2 inst_274 ( .Z(net_213), .S(net_186), .A(n2067), .B(n1348) );
1170NAND3_X1 inst_174 ( .ZN(net_228), .A1(net_187), .A3(net_186), .A2(net_136) );
1171NOR2_X1 inst_115 ( .ZN(net_230), .A1(net_229), .A2(net_228) );
1172NAND2_X1 inst_235 ( .ZN(net_170), .A2(net_169), .A1(n2090) );
1173CLKBUF_X1 inst_317 ( .Z(n409), .A(n452) );
1174NAND2_X1 inst_210 ( .ZN(net_85), .A2(net_83), .A1(n129) );
1175INV_X1 inst_314 ( .ZN(n311), .A(n150) );
1176NAND3_X1 inst_164 ( .A3(net_60), .ZN(net_56), .A1(n2104), .A2(n101) );
1177XOR2_X1 inst_5 ( .Z(net_9), .A(n2430), .B(n2427) );
1178MUX2_X2 inst_278 ( .B(net_212), .A(net_188), .Z(n148), .S(n860) );
1179NAND3_X1 inst_157 ( .ZN(net_36), .A3(n74), .A2(n651), .A1(n543) );
1180NAND2_X1 inst_239 ( .ZN(net_183), .A2(net_182), .A1(n2090) );
1181NOR2_X1 inst_105 ( .ZN(net_172), .A2(net_159), .A1(n2072) );
1182OR2_X4 inst_68 ( .ZN(net_144), .A1(net_143), .A2(net_142) );
1183NAND2_X1 inst_213 ( .ZN(net_89), .A2(net_87), .A1(n113) );
1184XNOR2_X1 inst_53 ( .ZN(net_207), .B(net_195), .A(net_194) );
1185NAND3_X1 inst_175 ( .ZN(net_216), .A1(net_187), .A3(net_186), .A2(net_131) );
1186NAND2_X1 inst_205 ( .A2(net_80), .ZN(net_77), .A1(n60) );
1187MUX2_X2 inst_254 ( .Z(net_120), .B(net_109), .S(n29), .A(n27) );
1188NAND2_X1 inst_225 ( .ZN(net_103), .A2(net_101), .A1(n66) );
1189NAND4_X1 inst_133 ( .ZN(net_212), .A4(net_103), .A1(net_51), .A2(net_47), .A3(net_23) );
1190INV_X1 inst_292 ( .ZN(n235), .A(n69) );
1191NOR2_X1 inst_112 ( .ZN(net_229), .A2(net_205), .A1(n1991) );
1192OR3_X2 inst_67 ( .A1(net_115), .A3(net_114), .ZN(n156), .A2(n2100) );
1193INV_X1 inst_305 ( .A(n303), .ZN(n166) );
1194NAND2_X1 inst_181 ( .ZN(n223), .A1(n7), .A2(n661) );
1195NAND4_X1 inst_127 ( .A4(net_100), .A3(net_68), .A2(net_37), .A1(net_21), .ZN(n301) );
1196XNOR2_X1 inst_29 ( .ZN(net_105), .A(net_15), .B(net_14) );
1197NAND2_X1 inst_186 ( .A2(net_64), .ZN(net_39), .A1(n91) );
1198XOR2_X1 inst_17 ( .Z(net_177), .B(net_140), .A(n1966) );
1199NAND3_X1 inst_146 ( .ZN(net_18), .A3(n75), .A2(n651), .A1(n543) );
1200MUX2_X2 inst_249 ( .Z(net_30), .S(n2104), .A(n126), .B(n114) );
1201AND3_X4 inst_334 ( .ZN(net_232), .A1(net_187), .A3(net_186), .A2(net_128) );
1202NAND2_X1 inst_202 ( .A2(net_74), .ZN(net_73), .A1(n48) );
1203NAND2_X1 inst_187 ( .A2(net_64), .ZN(net_40), .A1(n89) );
1204NAND2_X1 inst_206 ( .ZN(net_79), .A2(net_78), .A1(n95) );
1205NAND4_X1 inst_122 ( .ZN(net_128), .A3(net_86), .A2(net_85), .A4(net_58), .A1(net_50) );
1206NAND4_X1 inst_126 ( .ZN(net_122), .A2(net_69), .A4(net_67), .A1(net_34), .A3(net_19) );
1207XNOR2_X1 inst_25 ( .ZN(net_11), .A(n1966), .B(n1961) );
1208NAND2_X1 inst_240 ( .ZN(net_184), .A2(net_182), .A1(n2084) );
1209CLKBUF_X1 inst_326 ( .A(n321), .Z(n284) );
1210NOR2_X1 inst_110 ( .ZN(net_204), .A2(net_203), .A1(n299) );
1211OR2_X2 inst_74 ( .ZN(net_156), .A2(net_155), .A1(n2067) );
1212INV_X1 inst_288 ( .ZN(n237), .A(n57) );
1213NAND2_X1 inst_229 ( .ZN(net_145), .A1(net_143), .A2(net_142) );
1214NOR2_X1 inst_99 ( .A2(net_129), .ZN(net_114), .A1(n2096) );
1215XNOR2_X1 inst_35 ( .ZN(net_123), .A(net_122), .B(n164) );
1216OR2_X4 inst_69 ( .ZN(net_227), .A2(net_198), .A1(net_133) );
1217XNOR2_X1 inst_48 ( .ZN(net_194), .A(net_193), .B(net_191) );
1218NOR3_X1 inst_82 ( .ZN(net_249), .A3(net_247), .A2(net_213), .A1(net_212) );
1219XNOR2_X1 inst_46 ( .ZN(net_180), .A(net_132), .B(net_123) );
1220AND4_X1 inst_330 ( .ZN(net_267), .A4(net_266), .A1(net_263), .A2(net_252), .A3(net_241) );
1221XNOR2_X1 inst_30 ( .ZN(net_106), .A(net_12), .B(net_3) );
1222NAND4_X1 inst_136 ( .A4(n319), .ZN(n176), .A1(n661), .A2(n483), .A3(n36) );
1223NOR2_X1 inst_108 ( .ZN(net_201), .A2(net_198), .A1(n1981) );
1224NAND2_X1 inst_233 ( .ZN(net_161), .A2(net_151), .A1(n1986) );
1225NAND3_X1 inst_165 ( .ZN(net_57), .A3(net_53), .A1(n543), .A2(n50) );
1226MUX2_X2 inst_271 ( .A(net_212), .Z(n321), .B(n301), .S(n868) );
1227INV_X1 inst_283 ( .ZN(n238), .A(n108) );
1228XOR2_X1 inst_22 ( .Z(net_250), .A(net_242), .B(net_241) );
1229INV_X1 inst_311 ( .ZN(net_261), .A(net_252) );
1230XNOR2_X1 inst_34 ( .ZN(net_113), .B(net_106), .A(net_11) );
1231XOR2_X1 inst_12 ( .B(net_136), .Z(net_132), .A(net_108) );
1232OR2_X4 inst_71 ( .ZN(net_233), .A2(net_205), .A1(n1986) );
1233XNOR2_X1 inst_56 ( .ZN(net_211), .A(net_210), .B(n171) );
1234INV_X1 inst_308 ( .A(net_191), .ZN(net_188) );
1235NOR2_X1 inst_104 ( .ZN(net_171), .A2(net_153), .A1(n2084) );
1236XNOR2_X1 inst_60 ( .ZN(net_255), .A(net_217), .B(net_216) );
1237NAND3_X1 inst_168 ( .ZN(net_62), .A1(net_61), .A3(net_60), .A2(n137) );
1238NAND3_X1 inst_169 ( .ZN(net_63), .A1(net_61), .A3(net_60), .A2(n140) );
1239NAND2_X1 inst_215 ( .ZN(net_92), .A2(net_91), .A1(n567) );
1240INV_X1 inst_307 ( .ZN(net_117), .A(net_116) );
1241NAND3_X1 inst_161 ( .A3(net_53), .ZN(net_52), .A1(n543), .A2(n43) );
1242XOR2_X1 inst_16 ( .Z(net_176), .B(net_139), .A(n1961) );
1243MUX2_X2 inst_276 ( .S(net_186), .Z(net_185), .A(n1996), .B(n1341) );
1244CLKBUF_X1 inst_321 ( .Z(n367), .A(n1083) );
1245AND2_X4 inst_336 ( .A2(net_129), .ZN(net_115), .A1(n2096) );
1246XOR2_X1 inst_3 ( .Z(net_7), .A(n2454), .B(n2451) );
1247NAND3_X1 inst_156 ( .A1(net_61), .ZN(net_35), .A2(n2105), .A3(n128) );
1248NAND3_X1 inst_170 ( .ZN(net_189), .A1(net_96), .A3(net_66), .A2(net_52) );
1249MUX2_X2 inst_258 ( .Z(net_157), .B(net_128), .A(n32), .S(n29) );
1250XNOR2_X1 inst_41 ( .ZN(net_148), .B(net_147), .A(n290) );
1251NAND2_X1 inst_199 ( .A2(net_80), .ZN(net_70), .A1(n63) );
1252INV_X1 inst_296 ( .A(n325), .ZN(n261) );
1253NOR2_X1 inst_91 ( .ZN(net_42), .A1(n2105), .A2(n2104) );
1254NAND4_X1 inst_132 ( .ZN(net_136), .A2(net_79), .A4(net_43), .A1(net_33), .A3(net_25) );
1255NAND3_X1 inst_143 ( .ZN(net_1), .A3(n73), .A2(n651), .A1(n543) );
1256AND2_X4 inst_342 ( .A2(net_269), .ZN(net_263), .A1(net_242) );
1257XNOR2_X1 inst_36 ( .ZN(net_127), .A(net_126), .B(net_125) );
1258NAND3_X1 inst_152 ( .ZN(net_24), .A3(n78), .A2(n651), .A1(n543) );
1259MUX2_X2 inst_265 ( .Z(net_137), .B(net_136), .S(n29), .A(n25) );
1260NOR2_X1 inst_96 ( .ZN(net_87), .A2(net_61), .A1(net_60) );
1261XNOR2_X1 inst_45 ( .ZN(net_175), .A(net_138), .B(n1971) );
1262NOR2_X1 inst_101 ( .ZN(net_152), .A2(net_151), .A1(n1986) );
1263CLKBUF_X1 inst_319 ( .Z(n384), .A(n2066) );
1264MUX2_X2 inst_269 ( .Z(net_141), .B(n299), .A(n20), .S(n16) );
1265NAND2_X1 inst_238 ( .A2(net_186), .ZN(net_181), .A1(n1971) );
1266MUX2_X2 inst_261 ( .Z(net_169), .B(net_125), .A(n35), .S(n29) );
1267NAND3_X1 inst_178 ( .ZN(net_243), .A3(net_183), .A1(net_181), .A2(n8) );
1268NOR3_X1 inst_89 ( .ZN(net_276), .A3(net_267), .A2(net_260), .A1(net_221) );
1269NOR2_X1 inst_111 ( .ZN(net_217), .A2(net_205), .A1(n2067) );
1270OR3_X4 inst_66 ( .A3(net_273), .ZN(net_258), .A1(net_257), .A2(net_255) );
1271MUX2_X2 inst_268 ( .Z(net_140), .B(n286), .A(n21), .S(n16) );
1272XOR2_X1 inst_7 ( .Z(net_12), .A(n2474), .B(n1956) );
1273OR4_X1 inst_63 ( .ZN(net_271), .A1(net_111), .A4(n397), .A3(n395), .A2(n229) );
1274NAND2_X1 inst_182 ( .ZN(net_0), .A2(n3), .A1(n1) );
1275MUX2_X2 inst_273 ( .Z(net_203), .S(net_186), .A(n2072), .B(n1956) );
1276NAND4_X1 inst_120 ( .ZN(net_93), .A1(n96), .A4(n82), .A2(n44), .A3(n132) );
1277INV_X1 inst_294 ( .ZN(net_97), .A(n223) );
1278NAND4_X1 inst_119 ( .ZN(net_91), .A2(n69), .A4(n57), .A3(n120), .A1(n108) );
1279INV_X1 inst_284 ( .ZN(net_53), .A(n651) );
1280NAND2_X1 inst_222 ( .ZN(net_99), .A2(net_78), .A1(n99) );
1281CLKBUF_X1 inst_327 ( .A(n323), .Z(n282) );
1282NAND2_X1 inst_192 ( .A2(net_64), .ZN(net_46), .A1(n88) );
1283MUX2_X2 inst_280 ( .A(net_246), .B(net_240), .Z(n331), .S(n868) );
1284NOR3_X1 inst_85 ( .ZN(net_265), .A1(net_249), .A3(net_248), .A2(net_204) );
1285INV_X1 inst_291 ( .ZN(n221), .A(n96) );
1286MUX2_X2 inst_266 ( .Z(net_138), .B(n303), .A(n22), .S(n16) );
1287NAND3_X1 inst_149 ( .ZN(net_21), .A3(n77), .A2(n651), .A1(n543) );
1288NAND2_X1 inst_193 ( .A2(net_64), .ZN(net_47), .A1(n92) );
1289CLKBUF_X1 inst_318 ( .Z(n391), .A(n452) );
1290XNOR2_X1 inst_39 ( .B(net_112), .A(net_8), .ZN(n227) );
1291NAND2_X1 inst_230 ( .ZN(net_154), .A2(net_153), .A1(n2084) );
1292NAND2_X1 inst_217 ( .ZN(net_95), .A2(net_29), .A1(n2105) );
1293NOR4_X1 inst_77 ( .ZN(net_245), .A3(net_215), .A4(net_208), .A2(net_175), .A1(net_167) );
1294NAND3_X1 inst_171 ( .ZN(net_129), .A2(net_99), .A1(net_95), .A3(net_59) );
1295
1296endmodule
1297