1set_input_delay 0 -min -rise [get_ports n43gat]
2set_input_delay 0 -min -fall [get_ports n43gat]
3set_input_delay 0 -max -rise [get_ports n43gat]
4set_input_delay 0 -max -fall [get_ports n43gat]
5set_input_transition 5 -min -rise [get_ports n43gat]
6set_input_transition 5 -min -fall [get_ports n43gat]
7set_input_transition 5 -max -rise [get_ports n43gat]
8set_input_transition 5 -max -fall [get_ports n43gat]
9set_input_delay 0 -min -rise [get_ports n17gat]
10set_input_delay 0 -min -fall [get_ports n17gat]
11set_input_delay 0 -max -rise [get_ports n17gat]
12set_input_delay 0 -max -fall [get_ports n17gat]
13set_input_transition 5 -min -rise [get_ports n17gat]
14set_input_transition 5 -min -fall [get_ports n17gat]
15set_input_transition 5 -max -rise [get_ports n17gat]
16set_input_transition 5 -max -fall [get_ports n17gat]
17set_input_delay 0 -min -rise [get_ports n34gat]
18set_input_delay 0 -min -fall [get_ports n34gat]
19set_input_delay 0 -max -rise [get_ports n34gat]
20set_input_delay 0 -max -fall [get_ports n34gat]
21set_input_transition 5 -min -rise [get_ports n34gat]
22set_input_transition 5 -min -fall [get_ports n34gat]
23set_input_transition 5 -max -rise [get_ports n34gat]
24set_input_transition 5 -max -fall [get_ports n34gat]
25set_input_delay 0 -min -rise [get_ports n27gat]
26set_input_delay 0 -min -fall [get_ports n27gat]
27set_input_delay 0 -max -rise [get_ports n27gat]
28set_input_delay 0 -max -fall [get_ports n27gat]
29set_input_transition 5 -min -rise [get_ports n27gat]
30set_input_transition 5 -min -fall [get_ports n27gat]
31set_input_transition 5 -max -rise [get_ports n27gat]
32set_input_transition 5 -max -fall [get_ports n27gat]
33set_input_delay 0 -min -rise [get_ports n82gat]
34set_input_delay 0 -min -fall [get_ports n82gat]
35set_input_delay 0 -max -rise [get_ports n82gat]
36set_input_delay 0 -max -fall [get_ports n82gat]
37set_input_transition 5 -min -rise [get_ports n82gat]
38set_input_transition 5 -min -fall [get_ports n82gat]
39set_input_transition 5 -max -rise [get_ports n82gat]
40set_input_transition 5 -max -fall [get_ports n82gat]
41set_input_delay 0 -min -rise [get_ports n99gat]
42set_input_delay 0 -min -fall [get_ports n99gat]
43set_input_delay 0 -max -rise [get_ports n99gat]
44set_input_delay 0 -max -fall [get_ports n99gat]
45set_input_transition 5 -min -rise [get_ports n99gat]
46set_input_transition 5 -min -fall [get_ports n99gat]
47set_input_transition 5 -max -rise [get_ports n99gat]
48set_input_transition 5 -max -fall [get_ports n99gat]
49set_input_delay 0 -min -rise [get_ports n21gat]
50set_input_delay 0 -min -fall [get_ports n21gat]
51set_input_delay 0 -max -rise [get_ports n21gat]
52set_input_delay 0 -max -fall [get_ports n21gat]
53set_input_transition 5 -min -rise [get_ports n21gat]
54set_input_transition 5 -min -fall [get_ports n21gat]
55set_input_transition 5 -max -rise [get_ports n21gat]
56set_input_transition 5 -max -fall [get_ports n21gat]
57set_input_delay 0 -min -rise [get_ports n66gat]
58set_input_delay 0 -min -fall [get_ports n66gat]
59set_input_delay 0 -max -rise [get_ports n66gat]
60set_input_delay 0 -max -fall [get_ports n66gat]
61set_input_transition 5 -min -rise [get_ports n66gat]
62set_input_transition 5 -min -fall [get_ports n66gat]
63set_input_transition 5 -max -rise [get_ports n66gat]
64set_input_transition 5 -max -fall [get_ports n66gat]
65set_input_delay 0 -min -rise [get_ports n102gat]
66set_input_delay 0 -min -fall [get_ports n102gat]
67set_input_delay 0 -max -rise [get_ports n102gat]
68set_input_delay 0 -max -fall [get_ports n102gat]
69set_input_transition 5 -min -rise [get_ports n102gat]
70set_input_transition 5 -min -fall [get_ports n102gat]
71set_input_transition 5 -max -rise [get_ports n102gat]
72set_input_transition 5 -max -fall [get_ports n102gat]
73set_input_delay 0 -min -rise [get_ports n47gat]
74set_input_delay 0 -min -fall [get_ports n47gat]
75set_input_delay 0 -max -rise [get_ports n47gat]
76set_input_delay 0 -max -fall [get_ports n47gat]
77set_input_transition 5 -min -rise [get_ports n47gat]
78set_input_transition 5 -min -fall [get_ports n47gat]
79set_input_transition 5 -max -rise [get_ports n47gat]
80set_input_transition 5 -max -fall [get_ports n47gat]
81set_input_delay 0 -min -rise [get_ports n92gat]
82set_input_delay 0 -min -fall [get_ports n92gat]
83set_input_delay 0 -max -rise [get_ports n92gat]
84set_input_delay 0 -max -fall [get_ports n92gat]
85set_input_transition 5 -min -rise [get_ports n92gat]
86set_input_transition 5 -min -fall [get_ports n92gat]
87set_input_transition 5 -max -rise [get_ports n92gat]
88set_input_transition 5 -max -fall [get_ports n92gat]
89set_input_delay 0 -min -rise [get_ports n14gat]
90set_input_delay 0 -min -fall [get_ports n14gat]
91set_input_delay 0 -max -rise [get_ports n14gat]
92set_input_delay 0 -max -fall [get_ports n14gat]
93set_input_transition 5 -min -rise [get_ports n14gat]
94set_input_transition 5 -min -fall [get_ports n14gat]
95set_input_transition 5 -max -rise [get_ports n14gat]
96set_input_transition 5 -max -fall [get_ports n14gat]
97set_input_delay 0 -min -rise [get_ports n95gat]
98set_input_delay 0 -min -fall [get_ports n95gat]
99set_input_delay 0 -max -rise [get_ports n95gat]
100set_input_delay 0 -max -fall [get_ports n95gat]
101set_input_transition 5 -min -rise [get_ports n95gat]
102set_input_transition 5 -min -fall [get_ports n95gat]
103set_input_transition 5 -max -rise [get_ports n95gat]
104set_input_transition 5 -max -fall [get_ports n95gat]
105set_input_delay 0 -min -rise [get_ports n105gat]
106set_input_delay 0 -min -fall [get_ports n105gat]
107set_input_delay 0 -max -rise [get_ports n105gat]
108set_input_delay 0 -max -fall [get_ports n105gat]
109set_input_transition 5 -min -rise [get_ports n105gat]
110set_input_transition 5 -min -fall [get_ports n105gat]
111set_input_transition 5 -max -rise [get_ports n105gat]
112set_input_transition 5 -max -fall [get_ports n105gat]
113set_input_delay 0 -min -rise [get_ports n30gat]
114set_input_delay 0 -min -fall [get_ports n30gat]
115set_input_delay 0 -max -rise [get_ports n30gat]
116set_input_delay 0 -max -fall [get_ports n30gat]
117set_input_transition 5 -min -rise [get_ports n30gat]
118set_input_transition 5 -min -fall [get_ports n30gat]
119set_input_transition 5 -max -rise [get_ports n30gat]
120set_input_transition 5 -max -fall [get_ports n30gat]
121set_input_delay 0 -min -rise [get_ports n1gat]
122set_input_delay 0 -min -fall [get_ports n1gat]
123set_input_delay 0 -max -rise [get_ports n1gat]
124set_input_delay 0 -max -fall [get_ports n1gat]
125set_input_transition 5 -min -rise [get_ports n1gat]
126set_input_transition 5 -min -fall [get_ports n1gat]
127set_input_transition 5 -max -rise [get_ports n1gat]
128set_input_transition 5 -max -fall [get_ports n1gat]
129set_input_delay 0 -min -rise [get_ports n40gat]
130set_input_delay 0 -min -fall [get_ports n40gat]
131set_input_delay 0 -max -rise [get_ports n40gat]
132set_input_delay 0 -max -fall [get_ports n40gat]
133set_input_transition 5 -min -rise [get_ports n40gat]
134set_input_transition 5 -min -fall [get_ports n40gat]
135set_input_transition 5 -max -rise [get_ports n40gat]
136set_input_transition 5 -max -fall [get_ports n40gat]
137set_input_delay 0 -min -rise [get_ports n37gat]
138set_input_delay 0 -min -fall [get_ports n37gat]
139set_input_delay 0 -max -rise [get_ports n37gat]
140set_input_delay 0 -max -fall [get_ports n37gat]
141set_input_transition 5 -min -rise [get_ports n37gat]
142set_input_transition 5 -min -fall [get_ports n37gat]
143set_input_transition 5 -max -rise [get_ports n37gat]
144set_input_transition 5 -max -fall [get_ports n37gat]
145set_input_delay 0 -min -rise [get_ports n4gat]
146set_input_delay 0 -min -fall [get_ports n4gat]
147set_input_delay 0 -max -rise [get_ports n4gat]
148set_input_delay 0 -max -fall [get_ports n4gat]
149set_input_transition 5 -min -rise [get_ports n4gat]
150set_input_transition 5 -min -fall [get_ports n4gat]
151set_input_transition 5 -max -rise [get_ports n4gat]
152set_input_transition 5 -max -fall [get_ports n4gat]
153set_input_delay 0 -min -rise [get_ports n112gat]
154set_input_delay 0 -min -fall [get_ports n112gat]
155set_input_delay 0 -max -rise [get_ports n112gat]
156set_input_delay 0 -max -fall [get_ports n112gat]
157set_input_transition 5 -min -rise [get_ports n112gat]
158set_input_transition 5 -min -fall [get_ports n112gat]
159set_input_transition 5 -max -rise [get_ports n112gat]
160set_input_transition 5 -max -fall [get_ports n112gat]
161set_input_delay 0 -min -rise [get_ports n76gat]
162set_input_delay 0 -min -fall [get_ports n76gat]
163set_input_delay 0 -max -rise [get_ports n76gat]
164set_input_delay 0 -max -fall [get_ports n76gat]
165set_input_transition 5 -min -rise [get_ports n76gat]
166set_input_transition 5 -min -fall [get_ports n76gat]
167set_input_transition 5 -max -rise [get_ports n76gat]
168set_input_transition 5 -max -fall [get_ports n76gat]
169set_input_delay 0 -min -rise [get_ports n56gat]
170set_input_delay 0 -min -fall [get_ports n56gat]
171set_input_delay 0 -max -rise [get_ports n56gat]
172set_input_delay 0 -max -fall [get_ports n56gat]
173set_input_transition 5 -min -rise [get_ports n56gat]
174set_input_transition 5 -min -fall [get_ports n56gat]
175set_input_transition 5 -max -rise [get_ports n56gat]
176set_input_transition 5 -max -fall [get_ports n56gat]
177set_input_delay 0 -min -rise [get_ports n115gat]
178set_input_delay 0 -min -fall [get_ports n115gat]
179set_input_delay 0 -max -rise [get_ports n115gat]
180set_input_delay 0 -max -fall [get_ports n115gat]
181set_input_transition 5 -min -rise [get_ports n115gat]
182set_input_transition 5 -min -fall [get_ports n115gat]
183set_input_transition 5 -max -rise [get_ports n115gat]
184set_input_transition 5 -max -fall [get_ports n115gat]
185set_input_delay 0 -min -rise [get_ports n53gat]
186set_input_delay 0 -min -fall [get_ports n53gat]
187set_input_delay 0 -max -rise [get_ports n53gat]
188set_input_delay 0 -max -fall [get_ports n53gat]
189set_input_transition 5 -min -rise [get_ports n53gat]
190set_input_transition 5 -min -fall [get_ports n53gat]
191set_input_transition 5 -max -rise [get_ports n53gat]
192set_input_transition 5 -max -fall [get_ports n53gat]
193set_input_delay 0 -min -rise [get_ports n86gat]
194set_input_delay 0 -min -fall [get_ports n86gat]
195set_input_delay 0 -max -rise [get_ports n86gat]
196set_input_delay 0 -max -fall [get_ports n86gat]
197set_input_transition 5 -min -rise [get_ports n86gat]
198set_input_transition 5 -min -fall [get_ports n86gat]
199set_input_transition 5 -max -rise [get_ports n86gat]
200set_input_transition 5 -max -fall [get_ports n86gat]
201set_input_delay 0 -min -rise [get_ports n69gat]
202set_input_delay 0 -min -fall [get_ports n69gat]
203set_input_delay 0 -max -rise [get_ports n69gat]
204set_input_delay 0 -max -fall [get_ports n69gat]
205set_input_transition 5 -min -rise [get_ports n69gat]
206set_input_transition 5 -min -fall [get_ports n69gat]
207set_input_transition 5 -max -rise [get_ports n69gat]
208set_input_transition 5 -max -fall [get_ports n69gat]
209set_input_delay 0 -min -rise [get_ports n8gat]
210set_input_delay 0 -min -fall [get_ports n8gat]
211set_input_delay 0 -max -rise [get_ports n8gat]
212set_input_delay 0 -max -fall [get_ports n8gat]
213set_input_transition 5 -min -rise [get_ports n8gat]
214set_input_transition 5 -min -fall [get_ports n8gat]
215set_input_transition 5 -max -rise [get_ports n8gat]
216set_input_transition 5 -max -fall [get_ports n8gat]
217set_input_delay 0 -min -rise [get_ports n79gat]
218set_input_delay 0 -min -fall [get_ports n79gat]
219set_input_delay 0 -max -rise [get_ports n79gat]
220set_input_delay 0 -max -fall [get_ports n79gat]
221set_input_transition 5 -min -rise [get_ports n79gat]
222set_input_transition 5 -min -fall [get_ports n79gat]
223set_input_transition 5 -max -rise [get_ports n79gat]
224set_input_transition 5 -max -fall [get_ports n79gat]
225set_input_delay 0 -min -rise [get_ports n73gat]
226set_input_delay 0 -min -fall [get_ports n73gat]
227set_input_delay 0 -max -rise [get_ports n73gat]
228set_input_delay 0 -max -fall [get_ports n73gat]
229set_input_transition 5 -min -rise [get_ports n73gat]
230set_input_transition 5 -min -fall [get_ports n73gat]
231set_input_transition 5 -max -rise [get_ports n73gat]
232set_input_transition 5 -max -fall [get_ports n73gat]
233set_input_delay 0 -min -rise [get_ports n11gat]
234set_input_delay 0 -min -fall [get_ports n11gat]
235set_input_delay 0 -max -rise [get_ports n11gat]
236set_input_delay 0 -max -fall [get_ports n11gat]
237set_input_transition 5 -min -rise [get_ports n11gat]
238set_input_transition 5 -min -fall [get_ports n11gat]
239set_input_transition 5 -max -rise [get_ports n11gat]
240set_input_transition 5 -max -fall [get_ports n11gat]
241set_input_delay 0 -min -rise [get_ports n60gat]
242set_input_delay 0 -min -fall [get_ports n60gat]
243set_input_delay 0 -max -rise [get_ports n60gat]
244set_input_delay 0 -max -fall [get_ports n60gat]
245set_input_transition 5 -min -rise [get_ports n60gat]
246set_input_transition 5 -min -fall [get_ports n60gat]
247set_input_transition 5 -max -rise [get_ports n60gat]
248set_input_transition 5 -max -fall [get_ports n60gat]
249set_input_delay 0 -min -rise [get_ports n50gat]
250set_input_delay 0 -min -fall [get_ports n50gat]
251set_input_delay 0 -max -rise [get_ports n50gat]
252set_input_delay 0 -max -fall [get_ports n50gat]
253set_input_transition 5 -min -rise [get_ports n50gat]
254set_input_transition 5 -min -fall [get_ports n50gat]
255set_input_transition 5 -max -rise [get_ports n50gat]
256set_input_transition 5 -max -fall [get_ports n50gat]
257set_input_delay 0 -min -rise [get_ports n108gat]
258set_input_delay 0 -min -fall [get_ports n108gat]
259set_input_delay 0 -max -rise [get_ports n108gat]
260set_input_delay 0 -max -fall [get_ports n108gat]
261set_input_transition 5 -min -rise [get_ports n108gat]
262set_input_transition 5 -min -fall [get_ports n108gat]
263set_input_transition 5 -max -rise [get_ports n108gat]
264set_input_transition 5 -max -fall [get_ports n108gat]
265set_input_delay 0 -min -rise [get_ports n63gat]
266set_input_delay 0 -min -fall [get_ports n63gat]
267set_input_delay 0 -max -rise [get_ports n63gat]
268set_input_delay 0 -max -fall [get_ports n63gat]
269set_input_transition 5 -min -rise [get_ports n63gat]
270set_input_transition 5 -min -fall [get_ports n63gat]
271set_input_transition 5 -max -rise [get_ports n63gat]
272set_input_transition 5 -max -fall [get_ports n63gat]
273set_input_delay 0 -min -rise [get_ports n24gat]
274set_input_delay 0 -min -fall [get_ports n24gat]
275set_input_delay 0 -max -rise [get_ports n24gat]
276set_input_delay 0 -max -fall [get_ports n24gat]
277set_input_transition 5 -min -rise [get_ports n24gat]
278set_input_transition 5 -min -fall [get_ports n24gat]
279set_input_transition 5 -max -rise [get_ports n24gat]
280set_input_transition 5 -max -fall [get_ports n24gat]
281set_input_delay 0 -min -rise [get_ports n89gat]
282set_input_delay 0 -min -fall [get_ports n89gat]
283set_input_delay 0 -max -rise [get_ports n89gat]
284set_input_delay 0 -max -fall [get_ports n89gat]
285set_input_transition 5 -min -rise [get_ports n89gat]
286set_input_transition 5 -min -fall [get_ports n89gat]
287set_input_transition 5 -max -rise [get_ports n89gat]
288set_input_transition 5 -max -fall [get_ports n89gat]
289create_clock -period 100 -name virtual_clock
290set_output_delay -9 -min -rise [get_ports n432gat] -clock virtual_clock
291set_output_delay -9 -min -fall [get_ports n432gat] -clock virtual_clock
292set_output_delay 89 -max -rise [get_ports n432gat] -clock virtual_clock
293set_output_delay 89 -max -fall [get_ports n432gat] -clock virtual_clock
294set_load -pin_load 4 [get_ports n432gat]
295set_output_delay -9 -min -rise [get_ports n430gat] -clock virtual_clock
296set_output_delay -9 -min -fall [get_ports n430gat] -clock virtual_clock
297set_output_delay 89 -max -rise [get_ports n430gat] -clock virtual_clock
298set_output_delay 89 -max -fall [get_ports n430gat] -clock virtual_clock
299set_load -pin_load 4 [get_ports n430gat]
300set_output_delay -9 -min -rise [get_ports n421gat] -clock virtual_clock
301set_output_delay -9 -min -fall [get_ports n421gat] -clock virtual_clock
302set_output_delay 89 -max -rise [get_ports n421gat] -clock virtual_clock
303set_output_delay 89 -max -fall [get_ports n421gat] -clock virtual_clock
304set_load -pin_load 4 [get_ports n421gat]
305set_output_delay -9 -min -rise [get_ports n370gat] -clock virtual_clock
306set_output_delay -9 -min -fall [get_ports n370gat] -clock virtual_clock
307set_output_delay 89 -max -rise [get_ports n370gat] -clock virtual_clock
308set_output_delay 89 -max -fall [get_ports n370gat] -clock virtual_clock
309set_load -pin_load 4 [get_ports n370gat]
310set_output_delay -9 -min -rise [get_ports n329gat] -clock virtual_clock
311set_output_delay -9 -min -fall [get_ports n329gat] -clock virtual_clock
312set_output_delay 89 -max -rise [get_ports n329gat] -clock virtual_clock
313set_output_delay 89 -max -fall [get_ports n329gat] -clock virtual_clock
314set_load -pin_load 4 [get_ports n329gat]
315set_output_delay -9 -min -rise [get_ports n223gat] -clock virtual_clock
316set_output_delay -9 -min -fall [get_ports n223gat] -clock virtual_clock
317set_output_delay 89 -max -rise [get_ports n223gat] -clock virtual_clock
318set_output_delay 89 -max -fall [get_ports n223gat] -clock virtual_clock
319set_load -pin_load 4 [get_ports n223gat]
320set_output_delay -9 -min -rise [get_ports n431gat] -clock virtual_clock
321set_output_delay -9 -min -fall [get_ports n431gat] -clock virtual_clock
322set_output_delay 89 -max -rise [get_ports n431gat] -clock virtual_clock
323set_output_delay 89 -max -fall [get_ports n431gat] -clock virtual_clock
324set_load -pin_load 4 [get_ports n431gat]
325