1module s510 (
2cnt509,
3pcnt12,
4cnt283,
5cnt44,
6cnt13,
7pcnt241,
8blif_clk_net,
9pcnt6,
10cnt261,
11john,
12pcnt17,
13cnt511,
14cnt272,
15cnt21,
16cnt567,
17cnt10,
18cnt45,
19pcnt27,
20cnt284,
21cnt591,
22blif_reset_net,
23cclr,
24vsync,
25cblank,
26csync,
27pc,
28csm,
29pclr);
30
31// Start PIs
32input cnt509;
33input pcnt12;
34input cnt283;
35input cnt44;
36input cnt13;
37input pcnt241;
38input blif_clk_net;
39input pcnt6;
40input cnt261;
41input john;
42input pcnt17;
43input cnt511;
44input cnt272;
45input cnt21;
46input cnt567;
47input cnt10;
48input cnt45;
49input pcnt27;
50input cnt284;
51input cnt591;
52input blif_reset_net;
53
54// Start POs
55output cclr;
56output vsync;
57output cblank;
58output csync;
59output pc;
60output csm;
61output pclr;
62
63// Start wires
64wire net_47;
65wire net_176;
66wire net_215;
67wire net_137;
68wire net_132;
69wire net_54;
70wire net_237;
71wire net_105;
72wire vsync;
73wire net_129;
74wire net_119;
75wire net_98;
76wire net_12;
77wire net_151;
78wire net_53;
79wire net_93;
80wire net_210;
81wire net_168;
82wire net_259;
83wire net_269;
84wire net_127;
85wire pclr;
86wire net_76;
87wire net_101;
88wire net_187;
89wire net_111;
90wire net_264;
91wire net_90;
92wire net_225;
93wire net_283;
94wire net_100;
95wire net_85;
96wire net_263;
97wire net_252;
98wire net_124;
99wire net_240;
100wire net_160;
101wire net_221;
102wire net_115;
103wire net_4;
104wire net_17;
105wire net_164;
106wire cnt13;
107wire pcnt241;
108wire net_87;
109wire net_0;
110wire net_35;
111wire net_16;
112wire net_239;
113wire net_193;
114wire net_157;
115wire net_257;
116wire net_233;
117wire net_42;
118wire net_120;
119wire net_201;
120wire net_109;
121wire net_80;
122wire net_65;
123wire blif_reset_net;
124wire net_50;
125wire net_234;
126wire net_96;
127wire net_66;
128wire net_38;
129wire net_167;
130wire net_207;
131wire net_136;
132wire net_280;
133wire net_19;
134wire net_126;
135wire net_278;
136wire net_34;
137wire net_108;
138wire net_270;
139wire net_183;
140wire net_150;
141wire net_63;
142wire net_274;
143wire pcnt12;
144wire net_30;
145wire net_189;
146wire net_24;
147wire net_99;
148wire net_186;
149wire net_46;
150wire net_118;
151wire net_216;
152wire net_146;
153wire pcnt27;
154wire net_122;
155wire net_7;
156wire net_224;
157wire net_172;
158wire net_52;
159wire net_165;
160wire pc;
161wire net_13;
162wire net_246;
163wire net_94;
164wire net_219;
165wire net_18;
166wire net_131;
167wire net_114;
168wire net_196;
169wire net_29;
170wire net_149;
171wire net_142;
172wire net_248;
173wire net_31;
174wire net_36;
175wire net_158;
176wire net_41;
177wire net_198;
178wire net_253;
179wire net_276;
180wire net_209;
181wire net_3;
182wire net_154;
183wire john;
184wire net_213;
185wire net_238;
186wire net_260;
187wire net_28;
188wire net_97;
189wire net_182;
190wire net_192;
191wire net_60;
192wire net_267;
193wire net_273;
194wire net_256;
195wire net_58;
196wire net_82;
197wire net_64;
198wire cnt567;
199wire net_121;
200wire cnt45;
201wire net_73;
202wire net_200;
203wire net_177;
204wire net_75;
205wire net_86;
206wire net_206;
207wire net_195;
208wire net_125;
209wire net_107;
210wire net_166;
211wire net_223;
212wire net_179;
213wire net_235;
214wire net_159;
215wire net_61;
216wire net_62;
217wire net_6;
218wire net_217;
219wire net_271;
220wire net_23;
221wire cnt10;
222wire net_117;
223wire net_74;
224wire net_250;
225wire net_205;
226wire net_135;
227wire net_265;
228wire net_242;
229wire net_130;
230wire cclr;
231wire net_147;
232wire net_14;
233wire net_220;
234wire net_26;
235wire net_113;
236wire blif_clk_net;
237wire net_32;
238wire csm;
239wire net_40;
240wire net_282;
241wire net_69;
242wire cblank;
243wire cnt284;
244wire net_161;
245wire net_141;
246wire net_83;
247wire net_95;
248wire net_173;
249wire net_78;
250wire net_27;
251wire cnt44;
252wire net_56;
253wire net_155;
254wire net_261;
255wire net_191;
256wire net_22;
257wire net_181;
258wire net_39;
259wire net_245;
260wire net_2;
261wire net_102;
262wire net_144;
263wire net_227;
264wire net_9;
265wire net_59;
266wire net_162;
267wire net_230;
268wire net_44;
269wire net_277;
270wire net_134;
271wire net_199;
272wire net_45;
273wire net_89;
274wire cnt272;
275wire net_185;
276wire net_272;
277wire net_178;
278wire net_236;
279wire net_208;
280wire net_212;
281wire net_243;
282wire cnt283;
283wire net_222;
284wire net_152;
285wire net_116;
286wire net_175;
287wire net_91;
288wire net_55;
289wire net_106;
290wire net_258;
291wire net_255;
292wire net_140;
293wire net_266;
294wire net_247;
295wire pcnt17;
296wire net_279;
297wire net_104;
298wire net_148;
299wire net_72;
300wire net_25;
301wire net_229;
302wire net_70;
303wire net_251;
304wire net_194;
305wire net_241;
306wire net_5;
307wire net_244;
308wire net_128;
309wire net_138;
310wire pcnt6;
311wire net_184;
312wire net_11;
313wire net_123;
314wire csync;
315wire net_262;
316wire net_170;
317wire net_68;
318wire net_77;
319wire net_214;
320wire net_249;
321wire net_20;
322wire net_49;
323wire net_15;
324wire net_275;
325wire net_57;
326wire net_71;
327wire net_153;
328wire net_156;
329wire net_84;
330wire net_218;
331wire cnt261;
332wire net_174;
333wire net_231;
334wire net_92;
335wire net_1;
336wire net_112;
337wire net_103;
338wire net_139;
339wire net_226;
340wire net_43;
341wire net_228;
342wire net_10;
343wire net_180;
344wire net_21;
345wire net_169;
346wire net_51;
347wire net_79;
348wire net_171;
349wire net_143;
350wire cnt509;
351wire net_190;
352wire net_88;
353wire net_145;
354wire net_281;
355wire net_197;
356wire net_204;
357wire net_81;
358wire net_232;
359wire net_163;
360wire net_254;
361wire net_67;
362wire net_37;
363wire net_202;
364wire net_268;
365wire cnt511;
366wire cnt21;
367wire net_188;
368wire net_110;
369wire net_48;
370wire net_33;
371wire net_8;
372wire net_211;
373wire cnt591;
374wire net_133;
375wire net_203;
376
377// Start cells
378DFFR_X2 inst_257 ( .QN(net_238), .RN(net_235), .D(net_232), .CK(net_275) );
379CLKBUF_X2 inst_290 ( .A(net_282), .Z(net_283) );
380NAND2_X2 inst_145 ( .ZN(net_188), .A1(net_110), .A2(net_34) );
381CLKBUF_X2 inst_272 ( .A(net_264), .Z(net_265) );
382NAND2_X2 inst_103 ( .ZN(net_254), .A1(net_23), .A2(net_0) );
383INV_X2 inst_248 ( .ZN(net_246), .A(net_142) );
384INV_X2 inst_228 ( .A(net_79), .ZN(net_12) );
385NAND2_X2 inst_125 ( .ZN(net_108), .A1(net_96), .A2(net_71) );
386INV_X4 inst_207 ( .ZN(net_192), .A(net_149) );
387NAND2_X2 inst_138 ( .ZN(net_160), .A1(net_159), .A2(net_157) );
388NAND2_X2 inst_159 ( .ZN(net_228), .A1(net_211), .A2(net_209) );
389NAND2_X2 inst_134 ( .A2(net_225), .ZN(net_146), .A1(net_127) );
390INV_X2 inst_244 ( .ZN(net_125), .A(net_111) );
391NAND2_X2 inst_131 ( .ZN(net_128), .A1(net_127), .A2(net_89) );
392INV_X4 inst_214 ( .ZN(net_159), .A(net_115) );
393INV_X4 inst_180 ( .A(net_238), .ZN(net_104) );
394NAND2_X1 inst_160 ( .ZN(net_9), .A1(pcnt17), .A2(cnt284) );
395NOR2_X2 inst_33 ( .ZN(net_88), .A2(net_43), .A1(net_23) );
396NOR2_X2 inst_47 ( .ZN(net_135), .A2(net_81), .A1(net_18) );
397NOR3_X2 inst_19 ( .ZN(net_239), .A1(net_231), .A3(net_230), .A2(net_228) );
398OR2_X2 inst_8 ( .ZN(net_171), .A2(net_170), .A1(net_67) );
399INV_X2 inst_232 ( .A(net_199), .ZN(net_34) );
400INV_X2 inst_247 ( .ZN(net_212), .A(net_113) );
401NOR2_X4 inst_27 ( .A2(net_253), .ZN(net_148), .A1(net_142) );
402NAND2_X2 inst_100 ( .ZN(net_15), .A2(net_6), .A1(cnt44) );
403CLKBUF_X2 inst_279 ( .A(net_271), .Z(net_272) );
404INV_X1 inst_253 ( .ZN(net_235), .A(blif_reset_net) );
405INV_X4 inst_211 ( .ZN(net_115), .A(net_86) );
406INV_X8 inst_162 ( .ZN(net_60), .A(net_11) );
407NAND2_X4 inst_93 ( .A2(net_245), .ZN(net_78), .A1(net_57) );
408NAND3_X2 inst_81 ( .ZN(net_208), .A2(net_207), .A3(net_122), .A1(net_55) );
409NAND2_X2 inst_139 ( .ZN(net_165), .A1(net_106), .A2(net_83) );
410NAND2_X2 inst_155 ( .ZN(net_206), .A2(net_155), .A1(net_149) );
411NOR2_X2 inst_59 ( .ZN(net_223), .A2(net_217), .A1(net_150) );
412NAND2_X2 inst_135 ( .A1(net_207), .ZN(net_151), .A2(net_93) );
413INV_X4 inst_196 ( .A(net_173), .ZN(net_49) );
414NOR2_X2 inst_55 ( .ZN(net_191), .A2(net_147), .A1(net_97) );
415NOR2_X2 inst_37 ( .A2(net_199), .A1(net_100), .ZN(net_61) );
416INV_X2 inst_237 ( .ZN(net_63), .A(net_62) );
417NAND2_X2 inst_148 ( .ZN(net_194), .A1(net_141), .A2(net_103) );
418AND2_X4 inst_264 ( .A2(net_261), .ZN(net_40), .A1(net_10) );
419INV_X4 inst_191 ( .ZN(net_102), .A(net_74) );
420NAND3_X2 inst_84 ( .ZN(net_218), .A3(net_197), .A1(net_161), .A2(net_158) );
421NOR2_X2 inst_51 ( .ZN(net_147), .A2(net_118), .A1(cnt284) );
422NAND2_X2 inst_142 ( .ZN(net_177), .A2(net_154), .A1(net_140) );
423NAND3_X2 inst_80 ( .A3(net_252), .A1(net_251), .ZN(net_204), .A2(net_73) );
424INV_X4 inst_173 ( .ZN(net_23), .A(net_13) );
425INV_X2 inst_224 ( .ZN(net_4), .A(cnt511) );
426INV_X4 inst_216 ( .ZN(net_141), .A(net_140) );
427NAND3_X2 inst_78 ( .ZN(net_193), .A2(net_192), .A1(net_168), .A3(net_153) );
428CLKBUF_X2 inst_287 ( .A(net_273), .Z(net_280) );
429NOR2_X2 inst_42 ( .ZN(net_120), .A1(net_62), .A2(net_24) );
430INV_X2 inst_241 ( .A(net_105), .ZN(net_77) );
431INV_X4 inst_177 ( .ZN(net_14), .A(net_13) );
432INV_X2 inst_231 ( .A(net_40), .ZN(net_32) );
433CLKBUF_X2 inst_270 ( .A(blif_clk_net), .Z(net_263) );
434INV_X4 inst_183 ( .ZN(net_52), .A(net_24) );
435NOR2_X4 inst_26 ( .ZN(net_249), .A1(net_65), .A2(net_60) );
436NAND2_X2 inst_151 ( .ZN(net_197), .A2(net_128), .A1(net_33) );
437NOR2_X1 inst_64 ( .ZN(net_182), .A1(net_181), .A2(net_167) );
438NAND2_X2 inst_107 ( .A1(net_98), .ZN(net_64), .A2(net_40) );
439NAND4_X2 inst_70 ( .ZN(net_233), .A2(net_225), .A4(net_224), .A1(net_223), .A3(net_179) );
440NAND2_X2 inst_129 ( .ZN(net_124), .A2(net_123), .A1(net_96) );
441NAND2_X4 inst_92 ( .A2(net_244), .ZN(net_65), .A1(net_38) );
442INV_X4 inst_189 ( .A(net_57), .ZN(net_47) );
443INV_X2 inst_223 ( .ZN(net_3), .A(cnt591) );
444NOR4_X2 inst_11 ( .ZN(net_215), .A4(net_183), .A1(net_176), .A2(net_145), .A3(net_119) );
445INV_X4 inst_188 ( .ZN(net_75), .A(net_23) );
446NOR3_X2 inst_14 ( .A2(net_173), .ZN(net_132), .A1(net_131), .A3(net_98) );
447NOR2_X2 inst_31 ( .A2(net_262), .ZN(net_41), .A1(net_23) );
448INV_X2 inst_252 ( .ZN(net_176), .A(net_175) );
449NAND2_X2 inst_158 ( .A1(net_257), .ZN(net_227), .A2(net_199) );
450NAND2_X2 inst_141 ( .ZN(net_174), .A2(net_172), .A1(net_149) );
451NOR2_X1 inst_62 ( .A1(net_238), .ZN(net_53), .A2(net_27) );
452INV_X4 inst_200 ( .A(net_49), .ZN(net_48) );
453INV_X2 inst_251 ( .ZN(net_175), .A(net_159) );
454CLKBUF_X2 inst_286 ( .A(net_278), .Z(net_279) );
455NOR2_X2 inst_57 ( .ZN(net_210), .A2(net_195), .A1(net_152) );
456NAND2_X2 inst_102 ( .A2(net_261), .A1(net_260), .ZN(net_44) );
457NOR2_X2 inst_32 ( .ZN(net_30), .A2(net_29), .A1(john) );
458NAND2_X2 inst_144 ( .ZN(net_184), .A2(net_183), .A1(net_159) );
459INV_X4 inst_195 ( .A(net_44), .ZN(net_35) );
460NOR2_X4 inst_21 ( .A1(net_261), .A2(net_260), .ZN(net_38) );
461CLKBUF_X2 inst_281 ( .A(net_272), .Z(net_274) );
462NAND2_X4 inst_97 ( .ZN(net_255), .A1(net_120), .A2(net_96) );
463NAND2_X2 inst_124 ( .ZN(net_256), .A1(net_104), .A2(net_63) );
464NOR3_X2 inst_18 ( .ZN(net_243), .A1(net_164), .A3(net_162), .A2(net_61) );
465INV_X4 inst_208 ( .ZN(net_87), .A(net_78) );
466NAND3_X2 inst_88 ( .A3(net_240), .A1(net_239), .ZN(net_236), .A2(net_210) );
467INV_X2 inst_220 ( .ZN(net_0), .A(cnt21) );
468OR2_X2 inst_9 ( .ZN(net_179), .A1(net_178), .A2(net_170) );
469NAND2_X2 inst_113 ( .A2(net_173), .A1(net_102), .ZN(net_72) );
470INV_X4 inst_198 ( .ZN(net_207), .A(net_49) );
471NOR2_X2 inst_50 ( .A1(net_149), .ZN(net_145), .A2(net_144) );
472NAND2_X2 inst_137 ( .ZN(net_158), .A2(net_157), .A1(net_96) );
473INV_X2 inst_245 ( .A(net_225), .ZN(net_103) );
474NAND2_X2 inst_130 ( .A2(net_186), .ZN(net_126), .A1(net_125) );
475INV_X2 inst_227 ( .ZN(net_6), .A(pcnt12) );
476INV_X2 inst_226 ( .ZN(net_5), .A(pcnt17) );
477DFFR_X2 inst_260 ( .QN(net_259), .D(net_236), .RN(net_235), .CK(net_273) );
478INV_X4 inst_176 ( .A(net_13), .ZN(net_10) );
479NOR2_X2 inst_58 ( .ZN(net_217), .A1(net_192), .A2(net_191) );
480NAND2_X2 inst_147 ( .ZN(net_190), .A2(net_146), .A1(net_144) );
481NAND3_X2 inst_87 ( .ZN(net_232), .A3(net_221), .A1(net_203), .A2(net_134) );
482NOR2_X2 inst_61 ( .A1(net_241), .ZN(net_230), .A2(net_96) );
483INV_X4 inst_203 ( .ZN(net_137), .A(net_75) );
484INV_X4 inst_212 ( .ZN(net_131), .A(net_87) );
485INV_X2 inst_234 ( .ZN(net_51), .A(net_50) );
486OR3_X2 inst_0 ( .ZN(net_219), .A1(net_207), .A2(net_175), .A3(net_125) );
487INV_X4 inst_184 ( .ZN(net_37), .A(net_26) );
488INV_X2 inst_236 ( .A(net_68), .ZN(net_56) );
489NOR4_X2 inst_10 ( .A3(net_199), .ZN(net_195), .A2(net_163), .A4(net_45), .A1(net_30) );
490OR2_X2 inst_4 ( .ZN(net_91), .A2(net_90), .A1(net_52) );
491NOR2_X1 inst_65 ( .A2(net_219), .A1(net_186), .ZN(csm) );
492NOR2_X2 inst_28 ( .ZN(net_17), .A1(net_4), .A2(pcnt241) );
493INV_X2 inst_242 ( .ZN(net_81), .A(net_80) );
494CLKBUF_X2 inst_275 ( .A(net_267), .Z(net_268) );
495NAND2_X2 inst_117 ( .ZN(net_89), .A2(net_88), .A1(net_16) );
496NAND2_X4 inst_98 ( .ZN(net_251), .A1(net_249), .A2(cnt10) );
497AND3_X2 inst_263 ( .ZN(net_117), .A1(net_116), .A2(net_96), .A3(net_88) );
498INV_X4 inst_190 ( .ZN(net_100), .A(net_31) );
499INV_X4 inst_204 ( .ZN(net_149), .A(net_75) );
500INV_X4 inst_185 ( .ZN(net_25), .A(net_24) );
501NOR2_X2 inst_49 ( .ZN(net_200), .A1(net_84), .A2(net_75) );
502NAND2_X2 inst_154 ( .ZN(net_203), .A2(net_180), .A1(net_49) );
503NOR3_X2 inst_13 ( .ZN(net_157), .A3(net_78), .A1(net_29), .A2(net_19) );
504NAND3_X2 inst_75 ( .ZN(net_106), .A1(net_105), .A3(net_104), .A2(cnt509) );
505INV_X4 inst_166 ( .ZN(net_253), .A(cnt45) );
506NAND2_X2 inst_116 ( .ZN(net_129), .A2(net_53), .A1(net_52) );
507INV_X8 inst_163 ( .A(net_261), .ZN(net_26) );
508NOR2_X2 inst_54 ( .A2(net_172), .ZN(net_166), .A1(net_133) );
509NAND3_X2 inst_79 ( .A1(net_246), .ZN(net_198), .A2(pcnt6), .A3(cnt284) );
510NAND2_X2 inst_109 ( .ZN(net_127), .A1(net_52), .A2(net_41) );
511NAND2_X2 inst_106 ( .A1(net_238), .ZN(net_39), .A2(net_38) );
512INV_X2 inst_219 ( .A(net_249), .ZN(net_248) );
513INV_X4 inst_201 ( .ZN(net_168), .A(net_49) );
514NOR2_X2 inst_43 ( .A2(net_258), .ZN(net_95), .A1(net_64) );
515DFFR_X2 inst_255 ( .QN(net_262), .RN(net_235), .D(net_222), .CK(net_283) );
516NAND2_X2 inst_128 ( .ZN(net_114), .A2(net_80), .A1(cnt567) );
517NAND3_X2 inst_73 ( .ZN(net_73), .A3(net_40), .A1(net_25), .A2(cnt21) );
518DFFR_X2 inst_256 ( .QN(net_237), .RN(net_235), .D(net_229), .CK(net_279) );
519NOR2_X4 inst_23 ( .ZN(net_70), .A1(net_44), .A2(net_43) );
520NAND2_X4 inst_94 ( .ZN(net_225), .A1(net_178), .A2(net_47) );
521AND3_X4 inst_262 ( .ZN(net_226), .A2(net_225), .A3(net_206), .A1(net_201) );
522INV_X2 inst_243 ( .ZN(net_93), .A(net_92) );
523CLKBUF_X2 inst_285 ( .A(net_277), .Z(net_278) );
524NOR3_X2 inst_15 ( .ZN(net_150), .A2(net_149), .A3(net_116), .A1(net_48) );
525INV_X4 inst_218 ( .ZN(net_231), .A(net_227) );
526INV_X4 inst_197 ( .A(net_49), .ZN(net_42) );
527INV_X2 inst_250 ( .A(net_172), .ZN(net_122) );
528INV_X4 inst_179 ( .A(net_238), .ZN(net_29) );
529NOR2_X4 inst_24 ( .ZN(net_46), .A1(net_37), .A2(net_11) );
530OR2_X2 inst_6 ( .ZN(net_155), .A1(net_154), .A2(net_153) );
531NAND2_X2 inst_114 ( .ZN(net_92), .A1(net_75), .A2(net_74) );
532INV_X4 inst_194 ( .A(net_98), .ZN(net_54) );
533NAND3_X2 inst_76 ( .A2(net_192), .ZN(net_134), .A1(net_133), .A3(net_111) );
534NAND2_X2 inst_150 ( .A2(net_256), .A1(net_255), .ZN(net_247) );
535INV_X4 inst_172 ( .A(net_79), .ZN(net_8) );
536CLKBUF_X2 inst_277 ( .A(net_269), .Z(net_270) );
537NAND3_X2 inst_83 ( .ZN(net_214), .A3(net_213), .A1(net_174), .A2(net_102) );
538NAND2_X2 inst_121 ( .ZN(net_101), .A2(net_100), .A1(net_86) );
539NAND2_X2 inst_123 ( .ZN(net_163), .A2(net_107), .A1(net_52) );
540OR3_X1 inst_2 ( .A1(net_216), .A3(net_212), .A2(net_143), .ZN(cclr) );
541NAND3_X2 inst_86 ( .A2(net_219), .A1(net_194), .A3(net_171), .ZN(cblank) );
542NAND2_X2 inst_118 ( .ZN(net_113), .A2(net_70), .A1(net_7) );
543NOR2_X4 inst_20 ( .A1(net_260), .ZN(net_98), .A2(net_21) );
544NAND2_X2 inst_153 ( .ZN(net_202), .A2(net_200), .A1(net_42) );
545NOR2_X2 inst_38 ( .ZN(net_154), .A1(net_74), .A2(net_36) );
546NOR2_X2 inst_52 ( .A2(net_248), .ZN(net_162), .A1(net_17) );
547NAND2_X4 inst_90 ( .A1(net_250), .A2(net_238), .ZN(net_43) );
548AND2_X4 inst_267 ( .ZN(net_59), .A1(net_57), .A2(net_3) );
549NAND2_X2 inst_140 ( .ZN(net_213), .A1(net_173), .A2(net_172) );
550INV_X4 inst_209 ( .ZN(net_111), .A(net_76) );
551DFFR_X2 inst_259 ( .QN(net_260), .RN(net_235), .D(net_234), .CK(net_270) );
552INV_X2 inst_221 ( .ZN(net_1), .A(cnt567) );
553NOR2_X2 inst_40 ( .ZN(net_123), .A2(net_39), .A1(net_23) );
554INV_X4 inst_167 ( .A(net_262), .ZN(net_79) );
555INV_X2 inst_246 ( .A(net_131), .ZN(net_110) );
556CLKBUF_X2 inst_289 ( .A(net_281), .Z(net_282) );
557NAND2_X4 inst_95 ( .A2(net_186), .ZN(net_142), .A1(net_46) );
558OR3_X1 inst_1 ( .A3(net_212), .A2(net_182), .A1(net_166), .ZN(pc) );
559CLKBUF_X2 inst_282 ( .A(net_274), .Z(net_275) );
560NAND4_X2 inst_72 ( .A4(net_243), .A1(net_242), .ZN(net_234), .A2(net_205), .A3(net_112) );
561NOR2_X2 inst_44 ( .ZN(net_97), .A2(net_96), .A1(net_76) );
562CLKBUF_X2 inst_274 ( .A(net_266), .Z(net_267) );
563INV_X4 inst_174 ( .ZN(net_244), .A(net_13) );
564NAND2_X2 inst_115 ( .A1(net_96), .ZN(net_82), .A2(net_56) );
565INV_X2 inst_235 ( .ZN(net_55), .A(net_54) );
566INV_X4 inst_210 ( .A(net_86), .ZN(net_84) );
567INV_X8 inst_164 ( .ZN(net_57), .A(net_26) );
568OR2_X2 inst_5 ( .A2(net_127), .ZN(net_94), .A1(net_68) );
569CLKBUF_X2 inst_278 ( .A(net_264), .Z(net_271) );
570NAND2_X2 inst_157 ( .A2(net_208), .A1(net_94), .ZN(pclr) );
571INV_X2 inst_239 ( .A(net_127), .ZN(net_69) );
572NAND2_X2 inst_105 ( .ZN(net_62), .A2(net_37), .A1(net_31) );
573NAND4_X2 inst_68 ( .ZN(net_222), .A4(net_193), .A2(net_169), .A1(net_160), .A3(net_156) );
574INV_X4 inst_213 ( .ZN(net_172), .A(net_99) );
575NOR2_X2 inst_53 ( .ZN(net_164), .A2(net_163), .A1(cnt13) );
576INV_X4 inst_175 ( .ZN(net_96), .A(net_79) );
577INV_X4 inst_205 ( .ZN(net_80), .A(net_64) );
578INV_X1 inst_254 ( .ZN(net_107), .A(net_65) );
579INV_X2 inst_225 ( .ZN(net_258), .A(cnt283) );
580NAND2_X2 inst_133 ( .A2(net_249), .ZN(net_136), .A1(cnt511) );
581NAND2_X2 inst_112 ( .ZN(net_116), .A1(net_68), .A2(net_44) );
582NAND4_X2 inst_67 ( .ZN(net_221), .A3(net_213), .A4(net_189), .A2(net_115), .A1(net_72) );
583INV_X4 inst_181 ( .ZN(net_245), .A(net_23) );
584NAND2_X2 inst_127 ( .ZN(net_112), .A1(net_111), .A2(net_69) );
585NOR2_X2 inst_29 ( .ZN(net_18), .A1(net_1), .A2(pcnt27) );
586INV_X4 inst_186 ( .ZN(net_173), .A(net_29) );
587NOR3_X2 inst_17 ( .ZN(net_205), .A2(net_138), .A3(net_135), .A1(net_132) );
588NAND2_X2 inst_146 ( .ZN(net_189), .A2(net_139), .A1(net_100) );
589INV_X2 inst_249 ( .ZN(net_119), .A(net_118) );
590INV_X4 inst_202 ( .ZN(net_144), .A(net_102) );
591INV_X4 inst_187 ( .ZN(net_27), .A(net_26) );
592INV_X4 inst_206 ( .ZN(net_86), .A(net_52) );
593NAND2_X2 inst_122 ( .ZN(net_170), .A1(net_102), .A2(net_87) );
594NAND2_X2 inst_126 ( .ZN(net_109), .A2(net_107), .A1(net_28) );
595NOR2_X4 inst_25 ( .ZN(net_105), .A2(net_60), .A1(net_50) );
596INV_X2 inst_240 ( .ZN(net_71), .A(net_70) );
597NAND2_X2 inst_110 ( .A1(net_260), .ZN(net_90), .A2(net_41) );
598NAND3_X2 inst_74 ( .ZN(net_83), .A1(net_66), .A3(net_35), .A2(cnt45) );
599CLKBUF_X2 inst_288 ( .A(net_280), .Z(net_281) );
600INV_X2 inst_229 ( .A(net_260), .ZN(net_19) );
601NAND2_X4 inst_99 ( .A1(net_247), .ZN(net_211), .A2(cnt44) );
602NOR2_X2 inst_35 ( .ZN(net_178), .A2(net_79), .A1(net_52) );
603NAND4_X2 inst_69 ( .ZN(net_229), .A4(net_214), .A2(net_202), .A3(net_190), .A1(net_184) );
604NOR2_X2 inst_48 ( .A2(net_225), .ZN(net_138), .A1(net_137) );
605NAND3_X2 inst_82 ( .ZN(net_257), .A1(net_198), .A2(net_136), .A3(net_114) );
606NOR2_X2 inst_46 ( .ZN(net_153), .A2(net_116), .A1(net_79) );
607NOR2_X2 inst_30 ( .ZN(net_20), .A2(net_12), .A1(net_2) );
608NAND2_X2 inst_136 ( .ZN(net_156), .A1(net_149), .A2(net_108) );
609NAND2_X2 inst_108 ( .A1(net_238), .ZN(net_66), .A2(net_22) );
610INV_X2 inst_233 ( .A(net_43), .ZN(net_36) );
611INV_X4 inst_165 ( .A(net_259), .ZN(net_13) );
612CLKBUF_X2 inst_271 ( .A(net_263), .Z(net_264) );
613CLKBUF_X2 inst_283 ( .A(net_272), .Z(net_276) );
614NOR2_X4 inst_22 ( .A1(net_260), .ZN(net_31), .A2(net_13) );
615NOR2_X2 inst_34 ( .ZN(net_45), .A2(net_20), .A1(cnt10) );
616NOR3_X4 inst_12 ( .ZN(net_241), .A1(net_204), .A3(net_148), .A2(net_95) );
617NAND4_X2 inst_71 ( .A2(net_226), .A4(net_188), .A1(net_124), .A3(net_90), .ZN(csync) );
618NOR2_X2 inst_56 ( .ZN(net_240), .A2(net_187), .A1(net_117) );
619NAND2_X2 inst_104 ( .A2(net_238), .ZN(net_28), .A1(cnt13) );
620NOR2_X2 inst_60 ( .ZN(net_242), .A2(net_220), .A1(net_121) );
621INV_X4 inst_168 ( .A(net_237), .ZN(net_11) );
622INV_X4 inst_169 ( .ZN(net_250), .A(net_11) );
623INV_X4 inst_215 ( .A(net_149), .ZN(net_140) );
624NAND2_X1 inst_161 ( .ZN(net_139), .A2(net_99), .A1(net_74) );
625NOR3_X2 inst_16 ( .ZN(net_152), .A3(net_129), .A1(net_92), .A2(cnt261) );
626CLKBUF_X2 inst_276 ( .A(net_268), .Z(net_269) );
627OR2_X4 inst_3 ( .A2(net_262), .ZN(net_22), .A1(net_21) );
628NAND2_X2 inst_156 ( .ZN(net_209), .A2(net_165), .A1(net_137) );
629INV_X4 inst_170 ( .A(net_79), .ZN(net_7) );
630DFFR_X2 inst_258 ( .QN(net_261), .RN(net_235), .D(net_233), .CK(net_265) );
631NOR2_X2 inst_41 ( .ZN(net_85), .A2(net_59), .A1(net_58) );
632INV_X4 inst_199 ( .ZN(net_76), .A(net_47) );
633NAND2_X4 inst_91 ( .A2(net_260), .ZN(net_50), .A1(net_26) );
634NAND2_X2 inst_132 ( .ZN(net_130), .A1(net_129), .A2(net_113) );
635NAND2_X2 inst_143 ( .ZN(net_180), .A2(net_101), .A1(net_32) );
636NOR2_X2 inst_36 ( .ZN(net_58), .A1(net_57), .A2(cnt272) );
637NAND2_X2 inst_152 ( .ZN(net_201), .A1(net_200), .A2(net_199) );
638AND2_X4 inst_265 ( .A2(net_260), .ZN(net_186), .A1(net_14) );
639NAND2_X4 inst_96 ( .A2(net_254), .ZN(net_252), .A1(net_105) );
640NOR2_X2 inst_45 ( .A2(net_199), .ZN(net_183), .A1(net_111) );
641NAND2_X2 inst_101 ( .ZN(net_16), .A2(net_5), .A1(cnt284) );
642AND2_X2 inst_269 ( .ZN(net_161), .A2(net_109), .A1(net_77) );
643INV_X2 inst_238 ( .ZN(net_67), .A(net_66) );
644AND4_X2 inst_261 ( .ZN(net_187), .A3(net_186), .A2(net_178), .A1(net_173), .A4(net_85) );
645INV_X4 inst_178 ( .A(net_60), .ZN(net_24) );
646NAND3_X1 inst_89 ( .A1(net_215), .A3(net_126), .A2(net_82), .ZN(vsync) );
647NAND2_X2 inst_111 ( .A1(net_173), .ZN(net_133), .A2(net_54) );
648NAND4_X2 inst_66 ( .ZN(net_216), .A3(net_181), .A2(net_177), .A1(net_151), .A4(net_131) );
649AND2_X2 inst_268 ( .ZN(net_121), .A2(net_120), .A1(net_15) );
650OR2_X2 inst_7 ( .ZN(net_169), .A1(net_168), .A2(net_167) );
651NOR2_X1 inst_63 ( .A1(net_207), .ZN(net_143), .A2(net_142) );
652INV_X4 inst_182 ( .ZN(net_74), .A(net_19) );
653CLKBUF_X2 inst_273 ( .A(net_265), .Z(net_266) );
654NAND2_X2 inst_120 ( .ZN(net_167), .A1(net_111), .A2(net_98) );
655NAND2_X2 inst_119 ( .A1(net_238), .ZN(net_118), .A2(net_51) );
656CLKBUF_X2 inst_284 ( .A(net_276), .Z(net_277) );
657INV_X2 inst_222 ( .ZN(net_2), .A(john) );
658INV_X4 inst_192 ( .A(net_74), .ZN(net_33) );
659CLKBUF_X2 inst_280 ( .A(net_272), .Z(net_273) );
660NAND3_X2 inst_85 ( .ZN(net_220), .A3(net_196), .A2(net_185), .A1(net_91) );
661AND2_X4 inst_266 ( .A2(net_238), .ZN(net_199), .A1(net_8) );
662NAND2_X2 inst_149 ( .ZN(net_196), .A2(net_130), .A1(net_23) );
663INV_X4 inst_193 ( .ZN(net_181), .A(net_41) );
664NOR2_X2 inst_39 ( .ZN(net_99), .A1(net_79), .A2(net_78) );
665INV_X2 inst_230 ( .ZN(net_68), .A(net_38) );
666INV_X4 inst_217 ( .ZN(net_224), .A(net_218) );
667NAND3_X2 inst_77 ( .ZN(net_185), .A3(net_123), .A2(net_52), .A1(net_9) );
668INV_X4 inst_171 ( .ZN(net_21), .A(net_11) );
669
670endmodule
671