1create_clock -period 100 -name virtual_clock 2set_input_delay 0 -min -rise [get_ports nx1] 3set_input_delay 0 -min -fall [get_ports nx1] 4set_input_delay 0 -max -rise [get_ports nx1] 5set_input_delay 0 -max -fall [get_ports nx1] 6set_input_transition 5 -min -rise [get_ports nx1] 7set_input_transition 5 -min -fall [get_ports nx1] 8set_input_transition 5 -max -rise [get_ports nx1] 9set_input_transition 5 -max -fall [get_ports nx1] 10set_input_delay 0 -min -rise [get_ports nx7] 11set_input_delay 0 -min -fall [get_ports nx7] 12set_input_delay 0 -max -rise [get_ports nx7] 13set_input_delay 0 -max -fall [get_ports nx7] 14set_input_transition 5 -min -rise [get_ports nx7] 15set_input_transition 5 -min -fall [get_ports nx7] 16set_input_transition 5 -max -rise [get_ports nx7] 17set_input_transition 5 -max -fall [get_ports nx7] 18set_input_delay 0 -min -rise [get_ports nx3] 19set_input_delay 0 -min -fall [get_ports nx3] 20set_input_delay 0 -max -rise [get_ports nx3] 21set_input_delay 0 -max -fall [get_ports nx3] 22set_input_transition 5 -min -rise [get_ports nx3] 23set_input_transition 5 -min -fall [get_ports nx3] 24set_input_transition 5 -max -rise [get_ports nx3] 25set_input_transition 5 -max -fall [get_ports nx3] 26set_input_delay 0 -min -rise [get_ports nx2] 27set_input_delay 0 -min -fall [get_ports nx2] 28set_input_delay 0 -max -rise [get_ports nx2] 29set_input_delay 0 -max -fall [get_ports nx2] 30set_input_transition 5 -min -rise [get_ports nx2] 31set_input_transition 5 -min -fall [get_ports nx2] 32set_input_transition 5 -max -rise [get_ports nx2] 33set_input_transition 5 -max -fall [get_ports nx2] 34set_input_delay 0 -min -rise [get_ports nx6] 35set_input_delay 0 -min -fall [get_ports nx6] 36set_input_delay 0 -max -rise [get_ports nx6] 37set_input_delay 0 -max -fall [get_ports nx6] 38set_input_transition 5 -min -rise [get_ports nx6] 39set_input_transition 5 -min -fall [get_ports nx6] 40set_input_transition 5 -max -rise [get_ports nx6] 41set_input_transition 5 -max -fall [get_ports nx6] 42set_output_delay -9 -min -rise [get_ports nx23] -clock virtual_clock 43set_output_delay -9 -min -fall [get_ports nx23] -clock virtual_clock 44set_output_delay 89 -max -rise [get_ports nx23] -clock virtual_clock 45set_output_delay 89 -max -fall [get_ports nx23] -clock virtual_clock 46set_load -pin_load 4 [get_ports nx23] 47set_output_delay -9 -min -rise [get_ports nx22] -clock virtual_clock 48set_output_delay -9 -min -fall [get_ports nx22] -clock virtual_clock 49set_output_delay 89 -max -rise [get_ports nx22] -clock virtual_clock 50set_output_delay 89 -max -fall [get_ports nx22] -clock virtual_clock 51set_load -pin_load 4 [get_ports nx22] 52