1//: version "2.1"
2//: property encoding = "utf-8"
3//: property locale = "de"
4//: property prefix = "_GG"
5//: property title = "4-Bit Zähler"
6//: property showSwitchNets = 0
7//: property timingViolationMode = 2
8//: property initTime = "0 ns"
9//: require "timer"
10
11`timescale 1ns/1ns
12
13//: /netlistBegin main
14module main;    //: root_module
15reg w6;    //: /sn:0 {0}(622,214)(622,153)(473,153){1}
16//: {2}(469,153)(316,153){3}
17//: {4}(312,153)(179,153){5}
18//: {6}(175,153)(111,153)(111,153)(54,153){7}
19//: {8}(177,155)(177,210){9}
20//: {10}(314,155)(314,210){11}
21//: {12}(471,155)(471,213){13}
22supply0 w4;    //: /sn:0 {0}(502,199)(502,196)(481,196)(481,213){1}
23supply0 w0;    //: /sn:0 {0}(187,210)(187,184)(203,184)(203,194){1}
24supply0 w3;    //: /sn:0 {0}(340,195)(340,191)(324,191)(324,210){1}
25supply0 w9;    //: /sn:0 {0}(662,197)(662,190)(632,190)(632,214){1}
26wire w7;    //: /sn:0 {0}(335,231)(345,231){1}
27wire w16;    //: /sn:0 {0}(276,219)(287,219)(287,226)(303,226){1}
28wire w14;    //: /sn:0 {0}(570,227)(535,227)(535,176)(673,176){1}
29//: {2}(675,174)(675,118)(304,118)(304,106){3}
30//: {4}(675,178)(675,225)(643,225){5}
31wire w15;    //: /sn:0 {0}(274,106)(274,123)(221,123)(221,219){1}
32//: {2}(223,221)(255,221){3}
33//: {4}(219,221)(198,221){5}
34//: {6}(221,223)(221,256)(375,256){7}
35wire w19;    //: /sn:0 {0}(396,254)(395,254)(395,253)(405,253){1}
36//: {2}(409,253)(528,253){3}
37//: {4}(407,251)(407,231)(415,231){5}
38wire w21;    //: /sn:0 {0}(50,328)(117,328)(117,328)(180,328){1}
39//: {2}(184,328)(317,328){3}
40//: {4}(321,328)(474,328){5}
41//: {6}(478,328)(627,328)(627,246){7}
42//: {8}(476,326)(476,245){9}
43//: {10}(319,326)(319,242){11}
44//: {12}(182,326)(182,242){13}
45wire w20;    //: /sn:0 {0}(591,230)(611,230){1}
46wire w24;    //: /sn:0 {0}(549,251)(555,251)(555,232)(570,232){1}
47wire w1;    //: /sn:0 {0}(198,231)(208,231)(208,261)(153,261)(153,226)(166,226){1}
48wire w18;    //: /sn:0 {0}(643,235)(653,235){1}
49wire [3:0] w17;    //: /sn:0 {0}(#:289,100)(289,73){1}
50//: {2}(#:291,71)(331,71)(331,59){3}
51//: {4}(287,71)(250,71)(250,59){5}
52wire w11;    //: /sn:0 {0}(294,106)(294,126)(518,126)(518,172){1}
53//: {2}(516,174)(405,174)(405,226)(415,226){3}
54//: {4}(518,176)(518,222){5}
55//: {6}(516,224)(492,224){7}
56//: {8}(518,226)(518,248)(528,248){9}
57wire w12;    //: /sn:0 {0}(436,229)(460,229){1}
58wire w13;    //: /sn:0 {0}(492,234)(502,234){1}
59wire w5;    //: /sn:0 {0}(335,221)(359,221){1}
60//: {2}(361,219)(361,178){3}
61//: {4}(361,174)(361,133)(284,133)(284,106){5}
62//: {6}(359,176)(245,176)(245,216)(255,216){7}
63//: {8}(361,223)(361,251)(375,251){9}
64//: enddecls
65
66  _GGCLOCK_P100_0_50 g4 (.Z(w21));   //: @(37,328) /sn:0 /w:[ 0 ] /omega:100 /phi:0 /duty:50
67  //: GROUND g8 (w3) @(340,201) /sn:0 /w:[ 0 ]
68  //: LED g13 (w17) @(250,52) /sn:0 /w:[ 5 ] /type:1
69  //: GROUND g3 (w0) @(203,200) /sn:0 /w:[ 1 ]
70  _GGFF #(10, 10, 20) g2 (.Q(w11), ._Q(w13), .D(w12), .EN(w4), .CLR(w6), .CK(w21));   //: @(476,229) /sn:0 /w:[ 7 0 1 1 13 9 ] /mi:0
71  _GGFF #(10, 10, 20) g1 (.Q(w5), ._Q(w7), .D(w16), .EN(w3), .CLR(w6), .CK(w21));   //: @(319,226) /sn:0 /w:[ 0 0 1 1 11 11 ] /mi:0
72  //: joint g11 (w21) @(182, 328) /w:[ 2 12 1 -1 ]
73  //: joint g16 (w5) @(361, 176) /w:[ -1 4 6 3 ]
74  //: joint g28 (w14) @(675, 176) /w:[ -1 2 1 4 ]
75  _GGFF #(10, 10, 20) g10 (.Q(w14), ._Q(w18), .D(w20), .EN(w9), .CLR(w6), .CK(w21));   //: @(627,230) /sn:0 /w:[ 5 0 1 1 0 7 ] /mi:0
76  //: joint g27 (w21) @(476, 328) /w:[ 6 8 5 -1 ]
77  _GGAND2 #(6) g19 (.I0(w5), .I1(w15), .Z(w19));   //: @(386,254) /sn:0 /w:[ 9 7 0 ] /eb:0
78  //: joint g6 (w6) @(177, 153) /w:[ 5 -1 6 8 ]
79  //: comment LABEL_COUNTER @(10,84) /sn:0 /anc:1
80  //: /line:"<p>Move switcher in logical 1"
81  //: /line:"state to run"
82  //: /line:"counter</p>"
83  //: /end
84  //: joint g7 (w6) @(314, 153) /w:[ 3 -1 4 10 ]
85  //: GROUND g9 (w4) @(502,205) /sn:0 /w:[ 0 ]
86  //: LED INDICATOR (w17) @(331,52) /sn:0 /w:[ 3 ] /type:2
87  //: joint g31 (w17) @(289, 71) /w:[ 2 -1 4 1 ]
88  _GGXOR2 #(8) g15 (.I0(w5), .I1(w15), .Z(w16));   //: @(266,219) /sn:0 /w:[ 7 3 0 ] /eb:0
89  //: joint g20 (w5) @(361, 221) /w:[ -1 2 1 8 ]
90  //: comment g39 @(20,12) /sn:0
91  //: /line:"<a href=\"../index.v\">[ZURÜCK]</a>"
92  //: /end
93  //: joint g29 (w6) @(471, 153) /w:[ 1 -1 2 12 ]
94  //: joint g17 (w15) @(221, 221) /w:[ 2 1 4 6 ]
95  //: joint g25 (w19) @(407, 253) /w:[ 2 4 1 -1 ]
96  //: SWITCH g5 (w6) @(37,153) /sn:0 /w:[ 7 ] /st:1 /dn:0
97  assign w17 = {w14, w11, w5, w15}; //: CONCAT g14  @(289,101) /sn:0 /R:1 /w:[ 0 3 0 5 0 ] /dr:1 /tp:0 /drp:1
98  //: joint g21 (w11) @(518, 174) /w:[ -1 1 2 4 ]
99  _GGXOR2 #(8) g24 (.I0(w14), .I1(w24), .Z(w20));   //: @(581,230) /sn:0 /w:[ 0 1 0 ] /eb:0
100  //: GROUND g23 (w9) @(662,203) /sn:0 /w:[ 0 ]
101  _GGFF #(10, 10, 20) g0 (.Q(w15), ._Q(w1), .D(w1), .EN(w0), .CLR(w6), .CK(w21));   //: @(182,226) /sn:0 /w:[ 5 0 1 0 9 13 ] /mi:0
102  _GGAND2 #(6) g22 (.I0(w11), .I1(w19), .Z(w24));   //: @(539,251) /sn:0 /w:[ 9 3 0 ] /eb:0
103  //: joint g26 (w11) @(518, 224) /w:[ -1 5 6 8 ]
104  //: joint g12 (w21) @(319, 328) /w:[ 4 10 3 -1 ]
105  _GGXOR2 #(8) g18 (.I0(w11), .I1(w19), .Z(w12));   //: @(426,229) /sn:0 /w:[ 3 5 0 ] /eb:0
106
107endmodule
108//: /netlistEnd
109
110