1%Error: t/t_class_member_bad.v:18:9: Member 'memb3' not found in class 'Cls2'
2                                   : ... In instance t
3                                   : ... Suggested alternative: 'memb2'
4   18 |       c.memb3 = 3;
5      |         ^~~~~
6%Warning-WIDTH: t/t_class_member_bad.v:18:15: Operator ASSIGN expects 1 bits on the Assign RHS, but Assign RHS's CONST '?32?sh3' generates 32 or 2 bits.
7                                            : ... In instance t
8   18 |       c.memb3 = 3;
9      |               ^
10                ... For warning description see https://verilator.org/warn/WIDTH?v=latest
11                ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
12%Error: Exiting due to
13