1// DESCRIPTION: Verilator: Dotted reference that uses another dotted reference
2// as the select expression
3//
4// This file ONLY is placed into the Public Domain, for any use,
5// without warranty, 2015 by Todd Strader.
6// SPDX-License-Identifier: CC0-1.0
7
8module t (/*AUTOARG*/);
9endmodule
10