1// Verilated -*- C++ -*-
2// DESCRIPTION: Verilator output: Prototypes for DPI import and export functions.
3//
4// Verilator includes this file in all generated .cpp files that use DPI functions.
5// Manually include this file where DPI .c import functions are declared to ensure
6// the C functions match the expectations of the DPI imports.
7
8#ifndef VERILATED_VT_DPI_ARG_OUTPUT_TYPE__DPI_H_
9#define VERILATED_VT_DPI_ARG_OUTPUT_TYPE__DPI_H_  // guard
10
11#include "svdpi.h"
12
13#ifdef __cplusplus
14extern "C" {
15#endif
16
17
18 // DPI EXPORTS
19 extern void e_array_2_state_1(svBitVecVal* o);
20 extern void e_array_2_state_128(svBitVecVal* o);
21 extern void e_array_2_state_32(svBitVecVal* o);
22 extern void e_array_2_state_33(svBitVecVal* o);
23 extern void e_array_2_state_64(svBitVecVal* o);
24 extern void e_array_2_state_65(svBitVecVal* o);
25 extern void e_array_4_state_1(svLogicVecVal* o);
26 extern void e_array_4_state_128(svLogicVecVal* o);
27 extern void e_array_4_state_32(svLogicVecVal* o);
28 extern void e_array_4_state_33(svLogicVecVal* o);
29 extern void e_array_4_state_64(svLogicVecVal* o);
30 extern void e_array_4_state_65(svLogicVecVal* o);
31 extern void e_bit(svBit* o);
32 extern void e_bit_t(svBit* o);
33 extern void e_byte(char* o);
34 extern void e_byte_t(char* o);
35 extern void e_byte_unsigned(unsigned char* o);
36 extern void e_byte_unsigned_t(unsigned char* o);
37 extern void e_chandle(void** o);
38 extern void e_chandle_t(void** o);
39 extern void e_int(int* o);
40 extern void e_int_t(int* o);
41 extern void e_int_unsigned(unsigned int* o);
42 extern void e_int_unsigned_t(unsigned int* o);
43 extern void e_integer(svLogicVecVal* o);
44 extern void e_integer_t(svLogicVecVal* o);
45 extern void e_logic(svLogic* o);
46 extern void e_logic_t(svLogic* o);
47 extern void e_longint(long long* o);
48 extern void e_longint_t(long long* o);
49 extern void e_longint_unsigned(unsigned long long* o);
50 extern void e_longint_unsigned_t(unsigned long long* o);
51 extern void e_real(double* o);
52 extern void e_real_t(double* o);
53 extern void e_shortint(short* o);
54 extern void e_shortint_t(short* o);
55 extern void e_shortint_unsigned(unsigned short* o);
56 extern void e_shortint_unsigned_t(unsigned short* o);
57 extern void e_string(const char** o);
58 extern void e_string_t(const char** o);
59 extern void e_struct_2_state_1(svBitVecVal* o);
60 extern void e_struct_2_state_128(svBitVecVal* o);
61 extern void e_struct_2_state_32(svBitVecVal* o);
62 extern void e_struct_2_state_33(svBitVecVal* o);
63 extern void e_struct_2_state_64(svBitVecVal* o);
64 extern void e_struct_2_state_65(svBitVecVal* o);
65 extern void e_struct_4_state_1(svLogicVecVal* o);
66 extern void e_struct_4_state_128(svLogicVecVal* o);
67 extern void e_struct_4_state_32(svLogicVecVal* o);
68 extern void e_struct_4_state_33(svLogicVecVal* o);
69 extern void e_struct_4_state_64(svLogicVecVal* o);
70 extern void e_struct_4_state_65(svLogicVecVal* o);
71 extern void e_time(svLogicVecVal* o);
72 extern void e_time_t(svLogicVecVal* o);
73 extern void e_union_2_state_1(svBitVecVal* o);
74 extern void e_union_2_state_128(svBitVecVal* o);
75 extern void e_union_2_state_32(svBitVecVal* o);
76 extern void e_union_2_state_33(svBitVecVal* o);
77 extern void e_union_2_state_64(svBitVecVal* o);
78 extern void e_union_2_state_65(svBitVecVal* o);
79 extern void e_union_4_state_1(svLogicVecVal* o);
80 extern void e_union_4_state_128(svLogicVecVal* o);
81 extern void e_union_4_state_32(svLogicVecVal* o);
82 extern void e_union_4_state_33(svLogicVecVal* o);
83 extern void e_union_4_state_64(svLogicVecVal* o);
84 extern void e_union_4_state_65(svLogicVecVal* o);
85
86 // DPI IMPORTS
87 extern void check_exports();
88 extern void i_array_2_state_1(svBitVecVal* o);
89 extern void i_array_2_state_128(svBitVecVal* o);
90 extern void i_array_2_state_32(svBitVecVal* o);
91 extern void i_array_2_state_33(svBitVecVal* o);
92 extern void i_array_2_state_64(svBitVecVal* o);
93 extern void i_array_2_state_65(svBitVecVal* o);
94 extern void i_array_4_state_1(svLogicVecVal* o);
95 extern void i_array_4_state_128(svLogicVecVal* o);
96 extern void i_array_4_state_32(svLogicVecVal* o);
97 extern void i_array_4_state_33(svLogicVecVal* o);
98 extern void i_array_4_state_64(svLogicVecVal* o);
99 extern void i_array_4_state_65(svLogicVecVal* o);
100 extern void i_bit(svBit* o);
101 extern void i_bit_t(svBit* o);
102 extern void i_byte(char* o);
103 extern void i_byte_t(char* o);
104 extern void i_byte_unsigned(unsigned char* o);
105 extern void i_byte_unsigned_t(unsigned char* o);
106 extern void i_chandle(void** o);
107 extern void i_chandle_t(void** o);
108 extern void i_int(int* o);
109 extern void i_int_t(int* o);
110 extern void i_int_unsigned(unsigned int* o);
111 extern void i_int_unsigned_t(unsigned int* o);
112 extern void i_integer(svLogicVecVal* o);
113 extern void i_integer_t(svLogicVecVal* o);
114 extern void i_logic(svLogic* o);
115 extern void i_logic_t(svLogic* o);
116 extern void i_longint(long long* o);
117 extern void i_longint_t(long long* o);
118 extern void i_longint_unsigned(unsigned long long* o);
119 extern void i_longint_unsigned_t(unsigned long long* o);
120 extern void i_real(double* o);
121 extern void i_real_t(double* o);
122 extern void i_shortint(short* o);
123 extern void i_shortint_t(short* o);
124 extern void i_shortint_unsigned(unsigned short* o);
125 extern void i_shortint_unsigned_t(unsigned short* o);
126 extern void i_string(const char** o);
127 extern void i_string_t(const char** o);
128 extern void i_struct_2_state_1(svBitVecVal* o);
129 extern void i_struct_2_state_128(svBitVecVal* o);
130 extern void i_struct_2_state_32(svBitVecVal* o);
131 extern void i_struct_2_state_33(svBitVecVal* o);
132 extern void i_struct_2_state_64(svBitVecVal* o);
133 extern void i_struct_2_state_65(svBitVecVal* o);
134 extern void i_struct_4_state_1(svLogicVecVal* o);
135 extern void i_struct_4_state_128(svLogicVecVal* o);
136 extern void i_struct_4_state_32(svLogicVecVal* o);
137 extern void i_struct_4_state_33(svLogicVecVal* o);
138 extern void i_struct_4_state_64(svLogicVecVal* o);
139 extern void i_struct_4_state_65(svLogicVecVal* o);
140 extern void i_time(svLogicVecVal* o);
141 extern void i_time_t(svLogicVecVal* o);
142 extern void i_union_2_state_1(svBitVecVal* o);
143 extern void i_union_2_state_128(svBitVecVal* o);
144 extern void i_union_2_state_32(svBitVecVal* o);
145 extern void i_union_2_state_33(svBitVecVal* o);
146 extern void i_union_2_state_64(svBitVecVal* o);
147 extern void i_union_2_state_65(svBitVecVal* o);
148 extern void i_union_4_state_1(svLogicVecVal* o);
149 extern void i_union_4_state_128(svLogicVecVal* o);
150 extern void i_union_4_state_32(svLogicVecVal* o);
151 extern void i_union_4_state_33(svLogicVecVal* o);
152 extern void i_union_4_state_64(svLogicVecVal* o);
153 extern void i_union_4_state_65(svLogicVecVal* o);
154
155#ifdef __cplusplus
156}
157#endif
158
159#endif  // guard
160