1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11use IO::File;
12use Time::HiRes;
13
14scenarios(dist => 1);
15
16if (!$ENV{VERILATOR_TEST_RANDOM_FAILURE}) {
17    ok("Test is for harness checking only, setenv VERILATOR_TEST_RANDOM_FAILURE=1 ");
18} else {
19    # Randomly fail to test driver.pl
20    my ($ign, $t) = Time::HiRes::gettimeofday();
21    if ($t % 2) {
22        error("random failure " . $t);
23    }
24    else {
25        ok(1);
26    }
27}
281;
29