1// DESCRIPTION: Verilator: Verilog Test module
2//
3// Copyright 2010 by Wilson Snyder. This program is free software; you can
4// redistribute it and/or modify it under the terms of either the GNU
5// Lesser General Public License Version 3 or the Perl Artistic License
6// Version 2.0.
7// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
8
9import "DPI-C" pure function void dpii_a_library();
10import "DPI-C" pure function void dpii_c_library();
11import "DPI-C" pure function void dpii_so_library();
12
13module t ();
14   initial begin
15      dpii_a_library();  // From .a file
16      dpii_c_library();  // From .cpp file
17      dpii_so_library();  // From .so file
18      $write("*-* All Finished *-*\n");
19      $finish;
20   end
21endmodule
22