1%Error: t/t_gen_var_bad.v:10:7: Non-genvar used in generate for: 'i'
2                              : ... In instance t
3   10 |       for (i=0; i<3; i=i+1) begin
4      |       ^~~
5%Error: Exiting due to
6