1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11use IO::File;
12use strict;
13use vars qw($Self);
14
15scenarios(vlt => 1);
16
17sub gen {
18    my $filename = shift;
19
20    my $fh = IO::File->new(">$filename");
21    # Empty file should not EOFLINE warn
22}
23
24top_filename("$Self->{obj_dir}/t_lint_eofline_bad.v");
25
26gen($Self->{top_filename});
27
28lint(
29    verilator_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME -E"],
30    expect_filename => $Self->{golden_filename},
31    );
32
33ok(1);
341;
35