1%Error-BLKLOOPINIT: t/t_order_blkloopinit_bad.v:21:19: Unsupported: Delayed assignment to array inside for loops (non-delayed is ok - see docs)
2   21 |          array[i] <= 0;
3      |                   ^~
4                    ... For error description see https://verilator.org/warn/BLKLOOPINIT?v=latest
5%Error: Exiting due to
6