1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003-2017 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt => 1);
12
13my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp";
14
15compile(
16    # Override default flags
17    v_flags => [''],
18    v_other_filenames => ["t_preproc_persist2.v"],
19    verilator_flags => ["-E -P +incdir+t -Mdir $Self->{obj_dir}", ],
20    verilator_flags2 => ['',],
21    verilator_flags3 => ['',],
22    verilator_make_gmake => 0,
23    make_top_shell => 0,
24    make_main => 0,
25    stdout_filename => $stdout_filename,
26    );
27
28files_identical($stdout_filename, $Self->{golden_filename});
29
30ok(1);
311;
32