1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt => 1);
12
13top_filename("t/t_savable.v");
14
15compile(
16    v_flags2 => ["--savable"],
17    save_time => 500,
18    );
19
20execute(
21    all_run_flags => ['+save_restore=1'],
22    fails => 1,
23    expect_filename => $Self->{golden_filename},
24    );
25
26ok(1);
271;
28