1// DESCRIPTION: Verilator: Large test for SystemVerilog
2
3// This file ONLY is placed into the Public Domain, for any use,
4// without warranty, 2012.
5// SPDX-License-Identifier: CC0-1.0
6
7// Contributed by M W Lund, Atmel Corporation.
8
9//*****************************************************************************
10// PAD_VDD - VDD Supply Pad (Dummy!!!!)
11//*****************************************************************************
12
13module pad_vdd
14#( parameter ID = 0 )
15  (
16   inout wire pad
17   );
18
19  assign pad = 1'b1;
20endmodule // pad_vdd
21