1// DESCRIPTION: Verilator: Large test for SystemVerilog
2
3// This file ONLY is placed into the Public Domain, for any use,
4// without warranty, 2012.
5// SPDX-License-Identifier: CC0-1.0
6
7// Contributed by M W Lund, Atmel Corporation.
8
9`ifndef _PADS_H_SV_
10 `define _PADS_H_SV_
11
12// *****************************************************************************
13//
14// *****************************************************************************
15
16// **** Pin Identifiers ****
17typedef enum int
18{
19 PINID_A0 = 32'd0,                    // MUST BE ZERO!
20 // - Standard Ports -
21           PINID_A1, PINID_A2, PINID_A3, PINID_A4, PINID_A5, PINID_A6, PINID_A7,
22 PINID_B0, PINID_B1, PINID_B2, PINID_B3, PINID_B4, PINID_B5, PINID_B6, PINID_B7,
23 PINID_C0, PINID_C1, PINID_C2, PINID_C3, PINID_C4, PINID_C5, PINID_C6, PINID_C7,
24 PINID_D0, PINID_D1, PINID_D2, PINID_D3, PINID_D4, PINID_D5, PINID_D6, PINID_D7,
25 PINID_E0, PINID_E1, PINID_E2, PINID_E3, PINID_E4, PINID_E5, PINID_E6, PINID_E7,
26 PINID_F0, PINID_F1, PINID_F2, PINID_F3, PINID_F4, PINID_F5, PINID_F6, PINID_F7,
27 PINID_G0, PINID_G1, PINID_G2, PINID_G3, PINID_G4, PINID_G5, PINID_G6, PINID_G7,
28 PINID_H0, PINID_H1, PINID_H2, PINID_H3, PINID_H4, PINID_H5, PINID_H6, PINID_H7,
29// PINID_I0, PINID_I1, PINID_I2, PINID_I3, PINID_I4, PINID_I5, PINID_I6, PINID_I7,-> DO NOT USE!!!! I == 1
30 PINID_J0, PINID_J1, PINID_J2, PINID_J3, PINID_J4, PINID_J5, PINID_J6, PINID_J7,
31 PINID_K0, PINID_K1, PINID_K2, PINID_K3, PINID_K4, PINID_K5, PINID_K6, PINID_K7,
32 PINID_L0, PINID_L1, PINID_L2, PINID_L3, PINID_L4, PINID_L5, PINID_L6, PINID_L7,
33 PINID_M0, PINID_M1, PINID_M2, PINID_M3, PINID_M4, PINID_M5, PINID_M6, PINID_M7,
34 PINID_N0, PINID_N1, PINID_N2, PINID_N3, PINID_N4, PINID_N5, PINID_N6, PINID_N7,
35// PINID_O0, PINID_O1, PINID_O2, PINID_O3, PINID_O4, PINID_O5, PINID_O6, PINID_O7,-> DO NOT USE!!!! O == 0
36 PINID_P0, PINID_P1, PINID_P2, PINID_P3, PINID_P4, PINID_P5, PINID_P6, PINID_P7,
37 PINID_Q0, PINID_Q1, PINID_Q2, PINID_Q3, PINID_Q4, PINID_Q5, PINID_Q6, PINID_Q7,
38 PINID_R0, PINID_R1, PINID_R2, PINID_R3, PINID_R4, PINID_R5, PINID_R6, PINID_R7,
39 // - AUX Port (Custom) -
40 PINID_X0, PINID_X1, PINID_X2, PINID_X3, PINID_X4, PINID_X5, PINID_X6, PINID_X7,
41 // - PDI Port -
42 PINID_D2W_DAT, PINID_D2W_CLK,
43 // - Power Pins -
44 PINID_VDD0, PINID_VDD1, PINID_VDD2, PINID_VDD3,
45 PINID_GND0, PINID_GND1, PINID_GND2, PINID_GND3,
46 // - Maximum number of pins -
47 PINID_MAX
48 } t_pinid;
49
50
51
52// **** Pad types ****
53typedef enum int
54{
55 PADTYPE_DEFAULT = 32'd0,
56 PADTYPE_GPIO,                  // General Purpose I/O Pad (GPIO).
57 PADTYPE_GPIO_ANA,              // GPIO with Analog connection. Low noise GPIO.
58 PADTYPE_GPIO_HDS,              // GPIO with High Drive Strength.
59 PADTYPE_VDD,                   // VDD Supply Pad
60 PADTYPE_GND                    // Ground Pad
61 } t_padtype;
62
63
64
65`endif // !`ifdef _PADS_H_SV_
66