1$version Generated by VerilatedVcd $end
2$date Wed Aug 11 12:40:46 2021 $end
3$timescale 1ps $end
4
5 $scope module top $end
6  $var wire  1 = clk $end
7  $scope module $unit $end
8   $var wire  1 # global_bit $end
9  $upscope $end
10  $scope module t $end
11   $var wire  1 G LONGSTART_a_very_long_name_which_will_get_hashed_a_very_long_name_which_will_get_hashed_a_very_long_name_which_will_get_hashed_a_very_long_name_which_will_get_hashed_LONGEND $end
12   $var wire  1 = clk $end
13   $var wire 32 $ cyc [31:0] $end
14   $var wire  8 E unpacked_array[-1] [7:0] $end
15   $var wire  8 D unpacked_array[-2] [7:0] $end
16   $var wire  8 F unpacked_array[0] [7:0] $end
17   $var real 64 1 v_arr_real[0] $end
18   $var real 64 3 v_arr_real[1] $end
19   $var wire  2 ( v_arrp [2:1] $end
20   $var wire  4 ) v_arrp_arrp [3:0] $end
21   $var wire  4 * v_arrp_strp [3:0] $end
22   $var wire  1 > v_arru[1] $end
23   $var wire  1 ? v_arru[2] $end
24   $var wire  2 + v_arru_arrp[3] [2:1] $end
25   $var wire  2 , v_arru_arrp[4] [2:1] $end
26   $var wire  1 @ v_arru_arru[3][1] $end
27   $var wire  1 A v_arru_arru[3][2] $end
28   $var wire  1 B v_arru_arru[4][1] $end
29   $var wire  1 C v_arru_arru[4][2] $end
30   $var wire  2 - v_arru_strp[3] [1:0] $end
31   $var wire  2 . v_arru_strp[4] [1:0] $end
32   $var wire  3 9 v_enumb [2:0] $end
33   $var wire  6 : v_enumb2_str [5:0] $end
34   $var wire 32 7 v_enumed [31:0] $end
35   $var wire 32 8 v_enumed2 [31:0] $end
36   $var real 64 / v_real $end
37   $var wire 64 5 v_str32x2 [63:0] $end
38   $var wire  2 % v_strp [1:0] $end
39   $var wire  4 & v_strp_strp [3:0] $end
40   $var wire  2 ' v_unip_strp [1:0] $end
41   $scope module a_module_instantiation_with_a_very_long_name_that_once_its_signals_get_concatenated_and_inlined_will_almost_certainly_result_in_them_getting_hashed $end
42    $var wire 32 J PARAM [31:0] $end
43   $upscope $end
44   $scope module p2 $end
45    $var wire 32 H PARAM [31:0] $end
46   $upscope $end
47   $scope module p3 $end
48    $var wire 32 I PARAM [31:0] $end
49   $upscope $end
50   $scope module unnamedblk1 $end
51    $var wire 32 ; b [31:0] $end
52    $scope module unnamedblk2 $end
53     $var wire 32 < a [31:0] $end
54    $upscope $end
55   $upscope $end
56  $upscope $end
57 $upscope $end
58$enddefinitions $end
59
60
61#0
621#
63b00000000000000000000000000000000 $
64b00 %
65b0000 &
66b00 '
67b00 (
68b0000 )
69b0000 *
70b00 +
71b00 ,
72b00 -
73b00 .
74r0 /
75r0 1
76r0 3
77b0000000000000000000000000000000000000000000000000000000011111111 5
78b00000000000000000000000000000000 7
79b00000000000000000000000000000000 8
80b000 9
81b000000 :
82b00000000000000000000000000000000 ;
83b00000000000000000000000000000000 <
840=
850>
860?
870@
880A
890B
900C
91b00000000 D
92b00000000 E
93b00000000 F
940G
95b00000000000000000000000000000010 H
96b00000000000000000000000000000011 I
97b00000000000000000000000000000100 J
98#10
99b00000000000000000000000000000001 $
100b11 %
101b1111 &
102b11 '
103b11 (
104b1111 )
105b1111 *
106b11 +
107b11 ,
108b11 -
109b11 .
110r0.1 /
111r0.2 1
112r0.3 3
113b0000000000000000000000000000000100000000000000000000000011111110 5
114b00000000000000000000000000000001 7
115b00000000000000000000000000000010 8
116b111 9
117b00000000000000000000000000000101 ;
118b00000000000000000000000000000101 <
1191=
120#15
1210=
122#20
123b00000000000000000000000000000010 $
124b00 %
125b0000 &
126b00 '
127b00 (
128b0000 )
129b0000 *
130b00 +
131b00 ,
132b00 -
133b00 .
134r0.2 /
135r0.4 1
136r0.6 3
137b0000000000000000000000000000001000000000000000000000000011111101 5
138b00000000000000000000000000000010 7
139b00000000000000000000000000000100 8
140b110 9
141b111111 :
1421=
143#25
1440=
145#30
146b00000000000000000000000000000011 $
147b11 %
148b1111 &
149b11 '
150b11 (
151b1111 )
152b1111 *
153b11 +
154b11 ,
155b11 -
156b11 .
157r0.3 /
158r0.6000000000000001 1
159r0.8999999999999999 3
160b0000000000000000000000000000001100000000000000000000000011111100 5
161b00000000000000000000000000000011 7
162b00000000000000000000000000000110 8
163b101 9
164b110110 :
1651=
166#35
1670=
168#40
169b00000000000000000000000000000100 $
170b00 %
171b0000 &
172b00 '
173b00 (
174b0000 )
175b0000 *
176b00 +
177b00 ,
178b00 -
179b00 .
180r0.4 /
181r0.8 1
182r1.2 3
183b0000000000000000000000000000010000000000000000000000000011111011 5
184b00000000000000000000000000000100 7
185b00000000000000000000000000001000 8
186b100 9
187b101101 :
1881=
189#45
1900=
191#50
192b00000000000000000000000000000101 $
193b11 %
194b1111 &
195b11 '
196b11 (
197b1111 )
198b1111 *
199b11 +
200b11 ,
201b11 -
202b11 .
203r0.5 /
204r1 1
205r1.5 3
206b0000000000000000000000000000010100000000000000000000000011111010 5
207b00000000000000000000000000000101 7
208b00000000000000000000000000001010 8
209b011 9
210b100100 :
2111=
212#55
2130=
214#60
215b00000000000000000000000000000110 $
216b00 %
217b0000 &
218b00 '
219b00 (
220b0000 )
221b0000 *
222b00 +
223b00 ,
224b00 -
225b00 .
226r0.6 /
227r1.2 1
228r1.8 3
229b0000000000000000000000000000011000000000000000000000000011111001 5
230b00000000000000000000000000000110 7
231b00000000000000000000000000001100 8
232b010 9
233b011011 :
2341=
235