1%Warning-PINMISSING: t/t_udp_bad.v:10:10: Cell has missing pin: 'c_bad'
2   10 |    udp_x x (a, b);
3      |          ^
4                     ... For warning description see https://verilator.org/warn/PINMISSING?v=latest
5                     ... Use "/* verilator lint_off PINMISSING */" and lint_on around source to disable this message.
6%Error: t/t_udp_bad.v:14:18: Pin is not an in/out/inout/interface: 'a_bad'
7   14 | primitive udp_x (a_bad, b, c_bad);
8      |                  ^~~~~
9%Error-PINNOTFOUND: t/t_udp_bad.v:10:13: Pin not found: '__pinNumber1'
10   10 |    udp_x x (a, b);
11      |             ^
12%Error: t/t_udp_bad.v:15:9: Only inputs and outputs are allowed in udp modules
13   15 |    tri  a_bad;
14      |         ^~~~~
15%Error: t/t_udp_bad.v:17:11: Multiple outputs not allowed in udp modules
16   17 |    output c_bad;
17      |           ^~~~~
18%Error: Exiting due to
19