1%Warning-VARHIDDEN: t/t_var_bad_hide.v:16:14: Declaration of signal hides declaration in upper scope: 'top'
2   16 |       output top;
3      |              ^~~
4                    t/t_var_bad_hide.v:13:12: ... Location of original declaration
5   13 |    integer top;
6      |            ^~~
7                    ... For warning description see https://verilator.org/warn/VARHIDDEN?v=latest
8                    ... Use "/* verilator lint_off VARHIDDEN */" and lint_on around source to disable this message.
9%Warning-VARHIDDEN: t/t_var_bad_hide.v:22:18: Declaration of signal hides declaration in upper scope: 'top'
10   22 |          integer top;
11      |                  ^~~
12                    t/t_var_bad_hide.v:13:12: ... Location of original declaration
13   13 |    integer top;
14      |            ^~~
15%Error: Exiting due to
16