1%Error-UNSUPPORTED: t/t_var_static.v:20:18: Unsupported: 'static' function/task variables
2                                          : ... In instance t
3   20 |       static int st = 2; st++; return st;
4      |                  ^~
5                    ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
6%Error-UNSUPPORTED: t/t_var_static.v:30:18: Unsupported: 'static' function/task variables
7                                          : ... In instance t
8   30 |       static int st = 2; st++; return st;
9      |                  ^~
10%Error-UNSUPPORTED: t/t_var_static.v:40:18: Unsupported: 'static' function/task variables
11                                          : ... In instance t
12   40 |       static int st = 2; st++; return st;
13      |                  ^~
14%Error: Exiting due to
15