1#!/usr/bin/env perl
2if (!$::Driver) { use strict; use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Multiple Model Test Module
4#
5# Copyright 2020-2021 by Andreas Kuster. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt_all => 1);
12
13top_filename("t/t_wrapper_context.v");
14
15compile(
16    make_top_shell => 0,
17    make_main => 0,
18    # link threads library, add custom .cpp code, add tracing & coverage support
19    verilator_flags2 => ["-threads 1 --exe $Self->{t_dir}/t_wrapper_context.cpp",
20                         "--trace --coverage -cc"],
21    make_flags => 'CPPFLAGS_ADD=-DVL_NO_LEGACY',
22    );
23
24execute(
25    check_finished => 1,
26    );
27
28ok(1);
291;
30