• Home
  • History
  • Annotate
Name Date Size #Lines LOC

..03-Dec-2021-

READMEH A D03-Dec-2021449 2012

example.vH A D03-Dec-2021464 2217

example.xdcH A D03-Dec-20211.5 KiB2520

run.shH A D03-May-2022159 53

run_prog.tclH A D03-Dec-2021182 65

run_vivado.tclH A D03-Dec-2021196 109

run_yosys.ysH A D03-Dec-202168 32

README

1
2A simple example design, based on the Digilent BASYS3 board
3===========================================================
4
5This example uses Yosys for synthesis and Xilinx Vivado
6for place&route and bit-stream creation.
7
8Running Yosys:
9  yosys run_yosys.ys
10
11Running Vivado:
12  vivado -nolog -nojournal -mode batch -source run_vivado.tcl
13
14Programming board:
15  vivado -nolog -nojournal -mode batch -source run_prog.tcl
16
17All of the above:
18  bash run.sh
19
20