1// Anlogic Eagle - Blackbox cells
2// FIXME: Create sim models
3
4(* blackbox *)
5module EG_LOGIC_BUF(
6  output o,
7  input i
8);
9endmodule
10
11(* blackbox *)
12module EG_LOGIC_BUFG(
13  output o,
14  input i
15);
16endmodule
17
18(* blackbox *)
19module EG_LOGIC_BUFIO(
20  input clki,
21  input rst,
22  input coe,
23  output clko,
24  output clkdiv1,
25  output clkdivx
26);
27  parameter GSR = "DISABLE";
28  parameter DIV = 2;
29  parameter STOPCLK = "DISABLE";
30endmodule
31
32(* blackbox *)
33module EG_LOGIC_BUFGMUX(
34  output o,
35  input i0,
36  input i1,
37  input s
38);
39  parameter INIT_OUT = "0";
40  parameter PRESELECT_I0 = "TRUE";
41  parameter PRESELECT_I1 = "FALSE";
42endmodule
43
44(* blackbox *)
45module EG_LOGIC_MBOOT(
46  input rebootn,
47  input [7:0] dynamic_addr
48);
49  parameter ADDR_SOURCE_SEL = "STATIC";
50  parameter STATIC_ADDR = 8'b00000000;
51endmodule
52
53(* blackbox *)
54module EG_LOGIC_DNA(
55  output dout,
56  input  clk,
57  input  din,
58  input  shift_en
59);
60endmodule
61
62(* blackbox *)
63module EG_LOGIC_GCTRL(
64  output done,
65  output highz
66);
67endmodule
68
69(* blackbox *)
70module EG_LOGIC_GSRN(
71  input gsrn,
72  input sync_clk
73);
74  parameter GSRN_SYNC_SEL = "DISABLE";
75  parameter USR_GSRN_EN = "DISABLE";
76endmodule
77
78(* blackbox *)
79module EG_LOGIC_CCLK(
80  output cclk,
81  input  en
82);
83  parameter FREQ = "4.5";
84endmodule
85
86(* blackbox *)
87module EG_LOGIC_IDELAY(
88  output o,
89  input i
90);
91  parameter INDEL = 0;
92endmodule
93
94(* blackbox *)
95module EG_LOGIC_IDDR(
96  output q1,
97  output q0,
98  input clk,
99  input d,
100  input rst
101);
102  parameter ASYNCRST = "ENABLE";
103  parameter PIPEMODE = "PIPED";
104endmodule
105
106(* blackbox *)
107module EG_LOGIC_ODDR(
108  output q,
109  input clk,
110  input d1,
111  input d0,
112  input rst
113);
114  parameter ASYNCRST = "ENABLE";
115endmodule
116
117(* blackbox *)
118module EG_LOGIC_IDDRx2(
119  output q3,
120  output q2,
121  output q1,
122  output q0,
123  input pclk,
124  input sclk,
125  input d,
126  input rst
127);
128  parameter ASYNCRST = "ENABLE";
129endmodule
130
131(* blackbox *)
132module EG_LOGIC_ODELAY(
133  output o,
134  input i
135);
136  parameter OUTDEL = 0;
137endmodule
138
139(* blackbox *)
140module EG_LOGIC_ODDRx2(
141  output q,
142  input pclk,
143  input sclk,
144  input d3,
145  input d2,
146  input d1,
147  input d0,
148  input rst
149);
150  parameter ASYNCRST = "ENABLE";
151endmodule
152
153(* blackbox *)
154module EG_LOGIC_ODDRx2l(
155  output q,
156  input sclk,
157  input d3,
158  input d2,
159  input d1,
160  input d0,
161  input rst
162);
163  parameter ASYNCRST = "ENABLE";
164endmodule
165
166(* blackbox *)
167module EG_LOGIC_FIFO(
168  input rst,
169  input [DATA_WIDTH_W-1:0] di,
170  output [DATA_WIDTH_R-1:0] do,
171  input clkw,
172  input we,
173  input clkr,
174  input re,
175  input ore,
176  input [2:0] csw,
177  input [2:0] csr,
178  output empty_flag,
179  output aempty_flag,
180  output full_flag,
181  output afull_flag
182);
183  parameter DATA_WIDTH_W = 9;
184  parameter DATA_WIDTH_R = DATA_WIDTH_W;
185  parameter DATA_DEPTH_W = 1024;
186  parameter DATA_DEPTH_R = DATA_WIDTH_W * DATA_DEPTH_W / DATA_WIDTH_R;
187  parameter MODE = "FIFO8K";
188  parameter REGMODE_W = "NOREG";
189  parameter REGMODE_R = "NOREG";
190  parameter E = 0;
191  parameter AE = 6;
192  parameter AF = DATA_DEPTH_W - 6;
193  parameter F = DATA_DEPTH_W;
194  parameter GSR = "DISABLE";
195  parameter RESETMODE = "ASYNC";
196  parameter ASYNC_RESET_RELEASE = "SYNC";
197  parameter ENDIAN = "LITTLE";
198endmodule
199
200(* blackbox *)
201module EG_LOGIC_DRAM(
202  input [DATA_WIDTH_W-1:0] di,
203  input [ADDR_WIDTH_W-1:0] waddr,
204  input wclk,
205  input we,
206  output [DATA_WIDTH_R-1:0] do,
207  input [ADDR_WIDTH_R-1:0] raddr
208);
209  parameter DATA_WIDTH_W = 9;
210  parameter ADDR_WIDTH_W = 10;
211  parameter DATA_DEPTH_W = 2 ** ADDR_WIDTH_W;
212  parameter DATA_WIDTH_R = 9;
213  parameter ADDR_WIDTH_R = 10;
214  parameter DATA_DEPTH_R = 2 ** ADDR_WIDTH_R;
215  parameter INIT_FILE = "NONE";
216endmodule
217
218(* blackbox *)
219module EG_LOGIC_DRAM16X4(
220  input [3:0] di,
221  input [3:0] waddr,
222  input wclk,
223  input we,
224  input [3:0]raddr,
225  output [3:0]do
226);
227  parameter INIT_D0=16'h0000;
228  parameter INIT_D1=16'h0000;
229  parameter INIT_D2=16'h0000;
230  parameter INIT_D3=16'h0000;
231endmodule
232
233(* blackbox *)
234module EG_LOGIC_MULT(
235  output [OUTPUT_WIDTH-1:0] p,
236  input [INPUT_WIDTH_A-1:0] a,
237  input [INPUT_WIDTH_B-1:0] b,
238  input cea,
239  input ceb,
240  input cepd,
241  input clk,
242  input rstan,
243  input rstbn,
244  input rstpdn
245);
246  parameter INPUT_WIDTH_A = 18;
247  parameter INPUT_WIDTH_B = 18;
248  parameter OUTPUT_WIDTH = 36;
249  parameter INPUTFORMAT = "SIGNED";
250  parameter INPUTREGA = "ENABLE";
251  parameter INPUTREGB = "ENABLE";
252  parameter OUTPUTREG = "ENABLE";
253  parameter SRMODE = "ASYNC";
254  parameter IMPLEMENT = "AUTO";
255endmodule
256
257(* blackbox *)
258module EG_LOGIC_SEQ_DIV(
259  input clk,
260  input rst,
261  input start,
262  input [NUMER_WIDTH-1:0] numer,
263  input [DENOM_WIDTH-1:0] denom,
264  output [NUMER_WIDTH-1:0] quotient,
265  output [DENOM_WIDTH-1:0] remain,
266  output done
267);
268	parameter NUMER_WIDTH = 16;
269  parameter DENOM_WIDTH = 16;
270endmodule
271
272(* blackbox *)
273module EG_PHY_BRAM(
274  output [8:0] doa,
275  output [8:0] dob,
276  input [8:0] dia,
277  input [8:0] dib,
278  input [2:0] csa,
279  input [2:0] csb,
280  input cea,
281  input ocea,
282  input clka,
283  input wea,
284  input rsta,
285  input ceb,
286  input oceb,
287  input clkb,
288  input web,
289  input rstb,
290  input [12:0] addra,
291  input [12:0] addrb
292);
293  parameter MODE = "DP8K";
294  parameter DATA_WIDTH_A = "9";
295  parameter DATA_WIDTH_B = "9";
296  parameter READBACK = "OFF";
297  parameter REGMODE_A = "NOREG";
298  parameter REGMODE_B = "NOREG";
299  parameter WRITEMODE_A = "NORMAL";
300  parameter WRITEMODE_B = "NORMAL";
301  parameter GSR = "ENABLE";
302  parameter RESETMODE = "SYNC";
303  parameter ASYNC_RESET_RELEASE = "SYNC";
304  parameter CEAMUX = "SIG";
305  parameter CEBMUX = "SIG";
306  parameter OCEAMUX = "SIG";
307  parameter OCEBMUX = "SIG";
308  parameter RSTAMUX = "SIG";
309  parameter RSTBMUX = "SIG";
310  parameter CLKAMUX = "SIG";
311  parameter CLKBMUX = "SIG";
312  parameter WEAMUX = "SIG";
313  parameter WEBMUX = "SIG";
314  parameter CSA0 = "SIG" ;
315  parameter CSA1 = "SIG" ;
316  parameter CSA2 = "SIG" ;
317  parameter CSB0 = "SIG" ;
318  parameter CSB1 = "SIG" ;
319  parameter CSB2 = "SIG" ;
320  parameter INIT_FILE = "NONE";
321  parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
322  parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
323  parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
324  parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
325  parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
326  parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
327  parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
328  parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
329  parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
330  parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
331  parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
332  parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
333  parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
334  parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
335  parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
336  parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
337  parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
338  parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
339  parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
340  parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
341  parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
342  parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
343  parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
344  parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
345  parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
346  parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
347  parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
348  parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
349  parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
350  parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
351  parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
352  parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
353  parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
354  parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
355  parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
356  parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
357endmodule
358
359(* blackbox *)
360module EG_PHY_BRAM32K(
361  output [15:0] doa,
362  output [15:0] dob,
363  input [15:0] dia,
364  input [15:0] dib,
365  input [10:0] addra,
366  input [10:0] addrb,
367  input bytea,
368  input bytewea,
369  input byteb,
370  input byteweb,
371  input csa,
372  input wea,
373  input csb,
374  input web,
375  input clka,
376  input rsta,
377  input clkb,
378  input rstb,
379  input ocea,
380  input oceb
381);
382  parameter MODE = "DP16K";
383  parameter DATA_WIDTH_A = "16";
384  parameter DATA_WIDTH_B = "16";
385  parameter REGMODE_A = "NOREG";
386  parameter REGMODE_B = "NOREG";
387  parameter WRITEMODE_A = "NORMAL";
388  parameter WRITEMODE_B = "NORMAL";
389  parameter SRMODE = "SYNC";
390  parameter CSAMUX = "SIG";
391  parameter CSBMUX = "SIG";
392  parameter OCEAMUX = "SIG";
393  parameter OCEBMUX = "SIG";
394  parameter RSTAMUX = "SIG";
395  parameter RSTBMUX = "SIG";
396  parameter CLKAMUX = "SIG";
397  parameter CLKBMUX = "SIG";
398  parameter WEAMUX = "SIG";
399  parameter WEBMUX = "SIG";
400  parameter READBACK = "OFF";
401  parameter INIT_FILE = "";
402  parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
403  parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
404  parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
405  parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
406  parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
407  parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
408  parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
409  parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
410  parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
411  parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
412  parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
413  parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
414  parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
415  parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
416  parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
417  parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
418  parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
419  parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
420  parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
421  parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
422  parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
423  parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
424  parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
425  parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
426  parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
427  parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
428  parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
429  parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
430  parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
431  parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
432  parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
433  parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
434  parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
435  parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
436  parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
437  parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
438  parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
439  parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
440  parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
441  parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
442  parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
443  parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
444  parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
445  parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
446  parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
447  parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
448  parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
449  parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
450  parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
451  parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
452  parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
453  parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
454  parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
455  parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
456  parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
457  parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
458  parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
459  parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
460  parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
461  parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
462  parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
463  parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
464  parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
465  parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
466  parameter INIT_40 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
467  parameter INIT_41 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
468  parameter INIT_42 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
469  parameter INIT_43 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
470  parameter INIT_44 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
471  parameter INIT_45 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
472  parameter INIT_46 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
473  parameter INIT_47 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
474  parameter INIT_48 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
475  parameter INIT_49 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
476  parameter INIT_4A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
477  parameter INIT_4B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
478  parameter INIT_4C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
479  parameter INIT_4D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
480  parameter INIT_4E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
481  parameter INIT_4F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
482  parameter INIT_50 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
483  parameter INIT_51 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
484  parameter INIT_52 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
485  parameter INIT_53 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
486  parameter INIT_54 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
487  parameter INIT_55 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
488  parameter INIT_56 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
489  parameter INIT_57 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
490  parameter INIT_58 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
491  parameter INIT_59 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
492  parameter INIT_5A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
493  parameter INIT_5B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
494  parameter INIT_5C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
495  parameter INIT_5D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
496  parameter INIT_5E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
497  parameter INIT_5F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
498  parameter INIT_60 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
499  parameter INIT_61 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
500  parameter INIT_62 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
501  parameter INIT_63 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
502  parameter INIT_64 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
503  parameter INIT_65 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
504  parameter INIT_66 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
505  parameter INIT_67 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
506  parameter INIT_68 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
507  parameter INIT_69 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
508  parameter INIT_6A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
509  parameter INIT_6B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
510  parameter INIT_6C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
511  parameter INIT_6D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
512  parameter INIT_6E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
513  parameter INIT_6F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
514  parameter INIT_70 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
515  parameter INIT_71 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
516  parameter INIT_72 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
517  parameter INIT_73 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
518  parameter INIT_74 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
519  parameter INIT_75 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
520  parameter INIT_76 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
521  parameter INIT_77 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
522  parameter INIT_78 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
523  parameter INIT_79 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
524  parameter INIT_7A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
525  parameter INIT_7B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
526  parameter INIT_7C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
527  parameter INIT_7D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
528  parameter INIT_7E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
529  parameter INIT_7F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
530endmodule
531
532(* blackbox *)
533module EG_PHY_FIFO(
534  input [8:0] dia,
535  input [8:0] dib,
536  input [2:0] csr,
537  input [2:0] csw,
538  input we,
539  input re,
540  input clkw,
541  input clkr,
542  input rst,
543  input rprst,
544  input orea,
545  input oreb,
546  output [8:0] dob,
547  output [8:0] doa,
548  output empty_flag,
549  output aempty_flag,
550  output afull_flag,
551  output full_flag
552);
553  parameter MODE = "FIFO8K";
554  parameter DATA_WIDTH_A = "18";
555  parameter DATA_WIDTH_B = "18";
556  parameter READBACK = "OFF";
557  parameter REGMODE_A = "NOREG";
558  parameter REGMODE_B = "NOREG";
559  parameter [13:0] AE = 14'b00000001100000;
560  parameter [13:0] AF = 14'b01111110010000;
561  parameter [13:0] F = 14'b01111111110000;
562  parameter [13:0] AEP1 = 14'b00000001110000;
563  parameter [13:0] AFM1 = 14'b01111110000000;
564  parameter [13:0] FM1  = 14'b01111111100000;
565  parameter [4:0] E = 5'b00000;
566  parameter [5:0] EP1 = 6'b010000;
567  parameter GSR = "ENABLE";
568  parameter RESETMODE = "ASYNC";
569  parameter ASYNC_RESET_RELEASE = "SYNC";
570  parameter CEA = "SIG";
571  parameter CEB = "SIG";
572  parameter OCEA = "SIG";
573  parameter OCEB = "SIG";
574  parameter RSTA = "SIG";
575  parameter RSTB = "SIG";
576  parameter CLKA = "SIG";
577  parameter CLKB = "SIG";
578  parameter WEA = "SIG";
579  parameter WEB = "SIG";
580  parameter CSA0 = "SIG";
581  parameter CSA1 = "SIG";
582  parameter CSA2 = "SIG";
583  parameter CSB0 = "SIG";
584  parameter CSB1 = "SIG";
585  parameter CSB2 = "SIG";
586endmodule
587
588(* blackbox *)
589module EG_PHY_MULT18(
590  output [17:0] acout,
591  output [17:0] bcout,
592  output [35:0] p,
593  input signeda,
594  input signedb,
595  input [17:0] a,
596  input [17:0] b,
597  input [17:0] acin,
598  input [17:0] bcin,
599  input cea,
600  input ceb,
601  input cepd,
602  input clk,
603  input rstan,
604  input rstbn,
605  input rstpdn,
606  input sourcea,
607  input sourceb
608);
609  parameter INPUTREGA = "ENABLE";
610  parameter INPUTREGB = "ENABLE";
611  parameter OUTPUTREG = "ENABLE";
612  parameter SRMODE = "ASYNC";
613  parameter MODE = "MULT18X18C";
614  parameter CEAMUX = "SIG";
615  parameter CEBMUX = "SIG";
616  parameter CEPDMUX = "SIG";
617  parameter RSTANMUX = "SIG";
618  parameter RSTBNMUX = "SIG";
619  parameter RSTPDNMUX = "SIG";
620  parameter CLKMUX = "SIG";
621  parameter SIGNEDAMUX = "SIG";
622  parameter SIGNEDBMUX = "SIG";
623  parameter SOURCEAMUX = "SIG";
624  parameter SOURCEBMUX = "SIG";
625endmodule
626
627(* blackbox *)
628module EG_PHY_GCLK(
629  input clki,
630  output clko
631);
632endmodule
633
634(* blackbox *)
635module EG_PHY_IOCLK(
636  input clki,
637  input stop,
638  output clko
639);
640  parameter STOPCLK = "DISABLE";
641endmodule
642
643(* blackbox *)
644module EG_PHY_CLKDIV(
645  output clkdiv1,
646  output clkdivx,
647  input clki,
648  input rst,
649  input rls
650);
651  parameter GSR = "DISABLE";
652  parameter DIV = 2;
653endmodule
654
655(* blackbox *)
656module EG_PHY_CONFIG(
657  output jrstn,
658  output [1:0] jrti,
659  output jshift,
660  output jtck,
661  output jtdi,
662  output jupdate,
663  output [1:0] jscanen,
664  output jtms,
665  input [1:0] jtdo,
666  input [7:0] jtag8_ipa,
667  input [7:0] jtag8_ipb,
668  output done,
669  output highz,
670  output cclk,
671  input	cclk_en,
672  input gsrn_sync_clk,
673  input usr_gsrn,
674  output dna_dout,
675  input	dna_clk,
676  input	dna_din,
677  input	dna_shift_en,
678  input	mboot_rebootn,
679  input [7:0]	mboot_dynamic_addr
680);
681  parameter MBOOT_AUTO_SEL = "DISABLE";
682  parameter ADDR_SOURCE_SEL = "STATIC";
683  parameter STATIC_ADDR = 8'b0;
684  parameter DONE_PERSISTN = "ENABLE";
685  parameter INIT_PERSISTN = "ENABLE";
686  parameter PROGRAMN_PERSISTN = "DISABLE";
687  parameter JTAG_PERSISTN = "DISABLE";
688  parameter GSRN_SYNC_SEL = "DISABLE";
689  parameter FREQ = "2.5";
690  parameter USR_GSRN_EN = "DISABLE";
691endmodule
692
693(* blackbox *)
694module EG_PHY_OSC(
695  input osc_dis,
696  output osc_clk
697);
698  parameter STDBY = "DISABLE";
699endmodule
700
701(* blackbox *)
702module EG_PHY_PWRMNT(
703    output pwr_dwn_n,
704    input sel_pwr,
705    input pwr_mnt_pd
706);
707  parameter MNT_LVL = 0;
708endmodule
709
710(* blackbox *)
711module EG_PHY_DDR_8M_16(
712  input clk,
713  input clk_n,
714  input ras_n,
715  input cas_n,
716  input we_n,
717  input cs_n,
718  input [11:0] addr,
719  input [1:0] ba,
720  inout [15:0] dq,
721  input ldqs,
722  input udqs,
723  input ldm,
724  input udm,
725  input cke
726);
727endmodule
728
729(* blackbox *)
730module EG_PHY_SDRAM_2M_32(
731  input clk,
732  input ras_n,
733  input cas_n,
734  input we_n,
735  input [10:0] addr,
736  input [1:0] ba,
737  inout [31:0] dq,
738  input cs_n,
739  input dm0,
740  input dm1,
741  input dm2,
742  input dm3,
743  input cke
744);
745endmodule
746
747(* blackbox *)
748module EG_PHY_PAD(
749  input ipad,
750  output opad,
751  inout bpad,
752  input rst,
753  input ce,
754  input isclk,
755  input ipclk,
756  input osclk,
757  input opclk,
758  input ts,
759  input [3:0] do,
760  output di,
761  output [3:0] diq
762);
763  parameter DEDCLK = "DISABLE";
764  parameter GSR = "ENABLE";
765  parameter SRMODE = "SYNC";
766  parameter TSMUX = "1";
767  parameter INSCLKMUX = "0";
768  parameter INPCLKMUX = "CLK";
769  parameter INCEMUX = "CE";
770  parameter INRSTMUX = "0";
771  parameter IN_REGSET = "RESET";
772  parameter IN_DFFMODE = "NONE";
773  parameter IDDRMODE = "OFF";
774  parameter IDDRPIPEMODE = "NONE";
775  parameter INDELMUX = "NODEL";
776  parameter INDEL = 0;
777  parameter OUTSCLKMUX = "0";
778  parameter OUTPCLKMUX = "CLK";
779  parameter OUTCEMUX = "CE";
780  parameter OUTRSTMUX = "0";
781  parameter DO_REGSET = "RESET";
782  parameter DO_DFFMODE = "NONE";
783  parameter ODDRMODE = "OFF";
784  parameter OUTDELMUX = "NODEL";
785  parameter OUTDEL = 0;
786  parameter TO_REGSET = "RESET";
787  parameter TO_DFFMODE = "NONE";
788  parameter MODE = "IN";
789  parameter DRIVE = "NONE";
790  parameter IOTYPE = "LVCMOS25";
791endmodule
792
793(* blackbox *)
794module EG_PHY_MSLICE(
795  input [1:0] a,
796  input [1:0] b,
797  input [1:0] c,
798  input [1:0] d,
799  input [1:0] mi,
800  input clk,
801  input ce,
802  input sr,
803  input fci,
804  output [1:0] f,
805  output [1:0] fx,
806  output [1:0] q,
807  output fco,
808  input dpram_mode,
809  input [1:0] dpram_di,
810  input dpram_we,
811  input dpram_wclk,
812  input [3:0] dpram_waddr
813);
814  parameter INIT_LUT0 = 16'h0000;
815  parameter INIT_LUT1 = 16'h0000;
816  parameter MODE = "LOGIC";
817  parameter ALUTYPE = "ADD";
818  parameter MSFXMUX = "OFF";
819  parameter GSR = "ENABLE";
820  parameter TESTMODE = "OFF";
821  parameter CEMUX = "CE";
822  parameter SRMUX = "SR";
823  parameter CLKMUX = "CLK";
824  parameter SRMODE = "ASYNC";
825  parameter DFFMODE = "FF";
826  parameter REG0_SD = "MI";
827  parameter REG1_SD = "MI";
828  parameter REG0_REGSET = "SET";
829  parameter REG1_REGSET = "SET";
830endmodule
831
832(* blackbox *)
833module EG_PHY_LSLICE(
834  input [1:0] a,
835  input [1:0] b,
836  input [1:0] c,
837  input [1:0] d,
838  input [1:0] e,
839  input [1:0] mi,
840  input clk,
841  input ce,
842  input sr,
843  input fci,
844  output [1:0] f,
845  output [1:0] fx,
846  output [1:0] q,
847  output fco,
848  output [3:0] dpram_di,
849  output [3:0] dpram_waddr,
850  output dpram_wclk,
851  output dpram_we,
852  output dpram_mode
853);
854  parameter INIT_LUTF0 = 16'h0000;
855  parameter INIT_LUTG0 = 16'h0000;
856  parameter INIT_LUTF1 = 16'h0000;
857  parameter INIT_LUTG1 = 16'h0000;
858  parameter MODE = "LOGIC";
859  parameter GSR = "ENABLE";
860  parameter TESTMODE = "OFF";
861  parameter CEMUX = "1";
862  parameter SRMUX = "SR";
863  parameter CLKMUX = "CLK";
864  parameter SRMODE = "ASYNC";
865  parameter DFFMODE = "FF";
866  parameter REG0_SD = "MI";
867  parameter REG1_SD = "MI";
868  parameter REG0_REGSET = "SET";
869  parameter REG1_REGSET = "SET";
870  parameter DEMUX0 = "D";
871  parameter DEMUX1 = "D";
872  parameter CMIMUX0 = "C";
873  parameter CMIMUX1 = "C";
874  parameter LSFMUX0 = "LUTF";
875  parameter LSFXMUX0 = "LUTG";
876  parameter LSFMUX1 = "LUTF";
877  parameter LSFXMUX1 = "LUTG";
878endmodule
879
880(* blackbox *)
881module EG_PHY_PLL(
882  output [4:0] clkc,
883  output extlock,
884  input stdby,
885  input refclk,
886  input fbclk,
887  input reset,
888  output psdone,
889  input psclk,
890  input psdown,
891  input psstep,
892  input [2:0] psclksel,
893  output [7:0] do,
894  input dclk,
895  input dcs,
896  input dwe,
897  input [7:0] di,
898  input [5:0] daddr
899);
900  parameter DYNCFG = "DISABLE";
901  parameter IF_ESCLKSTSW = "DISABLE";
902  parameter REFCLK_SEL = "INTERNAL";
903  parameter FIN = "100.0000";
904  parameter REFCLK_DIV = 1;
905  parameter FBCLK_DIV = 1;
906  parameter CLKC0_DIV = 1;
907  parameter CLKC1_DIV = 1;
908  parameter CLKC2_DIV = 1;
909  parameter CLKC3_DIV = 1;
910  parameter CLKC4_DIV = 1;
911  parameter CLKC0_ENABLE = "DISABLE";
912  parameter CLKC1_ENABLE = "DISABLE";
913  parameter CLKC2_ENABLE = "DISABLE";
914  parameter CLKC3_ENABLE = "DISABLE";
915  parameter CLKC4_ENABLE = "DISABLE";
916  parameter CLKC0_DIV2_ENABLE = "DISABLE";
917  parameter CLKC1_DIV2_ENABLE = "DISABLE";
918  parameter CLKC2_DIV2_ENABLE = "DISABLE";
919  parameter CLKC3_DIV2_ENABLE = "DISABLE";
920  parameter CLKC4_DIV2_ENABLE = "DISABLE";
921  parameter FEEDBK_MODE = "NORMAL";
922  parameter FEEDBK_PATH = "VCO_PHASE_0";
923  parameter STDBY_ENABLE = "ENABLE";
924  parameter CLKC0_FPHASE = 0;
925  parameter CLKC1_FPHASE = 0;
926  parameter CLKC2_FPHASE = 0;
927  parameter CLKC3_FPHASE = 0;
928  parameter CLKC4_FPHASE = 0;
929  parameter CLKC0_CPHASE = 1;
930  parameter CLKC1_CPHASE = 1;
931  parameter CLKC2_CPHASE = 1;
932  parameter CLKC3_CPHASE = 1;
933  parameter CLKC4_CPHASE = 1;
934  parameter GMC_GAIN = 7;
935  parameter GMC_TEST = 14;
936  parameter ICP_CURRENT = 14;
937  parameter KVCO = 7;
938  parameter LPF_CAPACITOR = 3;
939  parameter LPF_RESISTOR = 1;
940  parameter PLLRST_ENA = "ENABLE";
941  parameter PLLMRST_ENA = "DISABLE";
942  parameter PLLC2RST_ENA = "DISABLE";
943  parameter PLLC34RST_ENA = "DISABLE";
944  parameter PREDIV_MUXC0 = "VCO";
945  parameter PREDIV_MUXC1 = "VCO";
946  parameter PREDIV_MUXC2 = "VCO";
947  parameter PREDIV_MUXC3 = "VCO";
948  parameter PREDIV_MUXC4 = "VCO";
949  parameter ODIV_MUXC0 = "DIV";
950  parameter ODIV_MUXC1 = "DIV";
951  parameter ODIV_MUXC2 = "DIV";
952  parameter ODIV_MUXC3 = "DIV";
953  parameter ODIV_MUXC4 = "DIV";
954  parameter FREQ_LOCK_ACCURACY = 2;
955  parameter PLL_LOCK_MODE = 0;
956  parameter INTFB_WAKE = "DISABLE";
957  parameter DPHASE_SOURCE = "DISABLE";
958  parameter VCO_NORESET = "DISABLE";
959  parameter STDBY_VCO_ENA = "DISABLE";
960  parameter NORESET = "DISABLE";
961  parameter SYNC_ENABLE = "ENABLE";
962  parameter DERIVE_PLL_CLOCKS = "DISABLE";
963  parameter GEN_BASIC_CLOCK = "DISABLE";
964endmodule
965
966(* blackbox *)
967module EG_LOGIC_BRAM(
968  output [DATA_WIDTH_A-1:0] doa,
969  output [DATA_WIDTH_B-1:0] dob,
970  input [DATA_WIDTH_A-1:0] dia,
971  input [DATA_WIDTH_B-1:0] dib,
972  input cea,
973  input ocea,
974  input clka,
975  input wea,
976  input rsta,
977  input ceb,
978  input oceb,
979  input clkb,
980  input web,
981  input rstb,
982  input [BYTE_A - 1 : 0] bea,
983  input [BYTE_B - 1 : 0] beb,
984  input [ADDR_WIDTH_A-1:0] addra,
985  input [ADDR_WIDTH_B-1:0] addrb
986);
987  parameter DATA_WIDTH_A = 9;
988  parameter DATA_WIDTH_B = DATA_WIDTH_A;
989  parameter ADDR_WIDTH_A = 10;
990  parameter ADDR_WIDTH_B = ADDR_WIDTH_A;
991  parameter DATA_DEPTH_A = 2 ** ADDR_WIDTH_A;
992  parameter DATA_DEPTH_B = 2 ** ADDR_WIDTH_B;
993  parameter BYTE_ENABLE = 0;
994  parameter BYTE_A = BYTE_ENABLE == 0 ? 1 : DATA_WIDTH_A / BYTE_ENABLE;
995  parameter BYTE_B = BYTE_ENABLE == 0 ? 1 : DATA_WIDTH_B / BYTE_ENABLE;
996  parameter MODE = "DP";
997  parameter REGMODE_A = "NOREG";
998  parameter REGMODE_B = "NOREG";
999  parameter WRITEMODE_A = "NORMAL";
1000  parameter WRITEMODE_B = "NORMAL";
1001  parameter RESETMODE = "SYNC";
1002  parameter DEBUGGABLE = "NO";
1003  parameter PACKABLE = "NO";
1004  parameter FORCE_KEEP = "OFF";
1005  parameter INIT_FILE = "NONE";
1006  parameter FILL_ALL = "NONE";
1007  parameter IMPLEMENT = "9K";
1008endmodule
1009
1010(* blackbox *)
1011module EG_PHY_ADC(
1012  input clk,
1013  input pd,
1014  input [2:0] s,
1015  input soc,
1016  output eoc,
1017  output [11:0] dout
1018);
1019  parameter CH0 = "DISABLE";
1020  parameter CH1 = "DISABLE";
1021  parameter CH2 = "DISABLE";
1022  parameter CH3 = "DISABLE";
1023  parameter CH4 = "DISABLE";
1024  parameter CH5 = "DISABLE";
1025  parameter CH6 = "DISABLE";
1026  parameter CH7 = "DISABLE";
1027  parameter VREF = "DISABLE";
1028endmodule
1029