1module muliply (a,product);
2  	  	input [3:0] a;
3  	  	output [4:0] product;
4  	  	wire [4:0] product;
5
6  	  	assign product  = a << 1;
7
8endmodule
9