1module test;
2localparam X=1;
3genvar i;
4generate
5if (X == 1)
6  $info("X is 1");
7if (X == 1)
8  $warning("X is 1");
9else
10  $error("X is not 1");
11case (X)
12  1: $info("X is 1 in a case statement");
13endcase
14//case (X-1)
15//  1: $warn("X is 2");
16//  default: $warn("X might be anything in a case statement");
17//endcase
18for (i = 0; i < 3; i = i + 1)
19begin
20  case(i)
21    0: $info;
22    1: $warning;
23    default: $info("default case statemnent");
24  endcase
25end
26
27$info("This is a standalone $info(). Next $info has no parameters");
28$info;
29endgenerate
30endmodule
31