1logger -expect error "syntax error, unexpected TOK_REAL" 1
2read_verilog -sv <<EOT
3module test_integer_real();
4parameter integer real a = 0;
5endmodule
6EOT
7