1logger -werror "is implicitly declared." -expect error "is implicitly declared." 1
2read_verilog << EOF
3module top(...);
4	assign b = w;
5endmodule
6EOF
7