1//
2// Copyright 2016 Ettus Research
3// Copyright 2018 Ettus Research, a National Instruments Company
4//
5// SPDX-License-Identifier: LGPL-3.0-or-later
6//
7
8module strobed_to_axi #(
9  parameter WIDTH = 32,
10  parameter FIFO_SIZE = 1
11)(
12  input clk, input reset, input clear,
13  input in_stb, input [WIDTH-1:0] in_data, input in_last,
14  output [WIDTH-1:0] o_tdata, output o_tlast, output o_tvalid, input o_tready
15);
16
17  axi_fifo #(.WIDTH(WIDTH+1), .SIZE(FIFO_SIZE)) axi_fifo (
18    .clk(clk), .reset(reset), .clear(clear),
19    .i_tdata({in_last,in_data}), .i_tvalid(in_stb), .i_tready(),
20    .o_tdata({o_tlast,o_tdata}), .o_tvalid(o_tvalid), .o_tready(o_tready),
21    .space(), .occupied());
22endmodule