1#
2# Copyright 2013 Ettus Research LLC
3# Copyright 2016 Ettus Research, a National Instruments Company
4#
5# SPDX-License-Identifier: LGPL-3.0-or-later
6#
7
8##################################################
9# DSP Sources
10##################################################
11DSP_SRCS = $(abspath $(addprefix $(BASE_DIR)/../lib/dsp/, \
12acc.v \
13add2_and_clip_reg.v \
14add2_and_clip.v \
15add2_and_round_reg.v \
16add2_and_round.v \
17add2_reg.v \
18add2.v \
19add_then_mac.v \
20cic_decim.v \
21cic_dec_shifter.v \
22cic_interp.v \
23cic_int_shifter.v \
24cic_strober.v \
25clip_reg.v \
26clip.v \
27cordic_stage.v \
28cordic_z24.v \
29ddc_chain.v \
30duc_chain.v \
31hb47_int.v \
32hb_dec.v \
33hb_interp.v \
34Makefile.srcs \
35mult_add_clip.v \
36round_reg.v \
37round_sd.v \
38round.v \
39rx_dcoffset.v \
40rx_frontend.v \
41sign_extend.v \
42small_hb_dec.v \
43small_hb_int.v \
44srl.v \
45tx_frontend.v \
46variable_delay_line.v \
47))
48