1//
2// Copyright 2013 Ettus Research LLC
3// Copyright 2018 Ettus Research, a National Instruments Company
4//
5// SPDX-License-Identifier: LGPL-3.0-or-later
6//
7
8
9module pcie_lossy_samp_gate
10(
11   input [63:0]   i_tdata,
12   input          i_tvalid,
13   output         i_tready,
14
15   output [63:0]  o_tdata,
16   output         o_tvalid,
17   input          o_tready,
18
19   input          drop,
20   output         dropping
21);
22
23   assign o_tdata    = i_tdata;
24   assign o_tvalid   = i_tvalid & ~drop;
25   assign i_tready   = o_tready | drop;
26
27   assign dropping   = drop & i_tvalid;
28
29endmodule // pcie_lossy_samp_gate
30