1# For complete documentation of this file, please see Geany's main documentation
2[styling]
3# Edit these in the colorscheme .conf file instead
4default=default
5comment=comment
6comment_line_bang=comment_line
7block_comment=comment
8number=number_1
9string=string_1
10operator=operator
11identifier=identifier_1
12stringeol=string_eol
13keyword=keyword_1
14stdoperator=operator
15attribute=attribute
16stdfunction=function
17stdpackage=preprocessor
18stdtype=type
19userword=keyword_2
20
21[keywords]
22# all items must be in one line
23keywords=access after alias all architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto else elsif end entity exit file for function generate generic group guarded if impure in inertial inout is label library linkage literal loop map new next null of on open others out package port postponed procedure process pure range record register reject report return select severity shared signal subtype then to transport type unaffected units until use variable wait when while with
24operators=abs and mod nand nor not or rem rol ror sla sll sra srl xnor xor
25attributes=left right low high ascending image value pos val succ pred leftof rightof base range reverse_range length delayed stable quiet transaction event active last_event last_active last_value driving driving_value simple_name path_name instance_name
26std_functions=now readline read writeline write endfile resolved to_bit to_bitvector to_stdulogic to_stdlogicvector to_stdulogicvector to_x01 to_x01z to_UX01 rising_edge falling_edge is_x shift_left shift_right rotate_left rotate_right resize to_integer to_unsigned to_signed std_match to_01
27std_packages=std ieee work standard textio std_logic_1164 std_logic_arith std_logic_misc std_logic_signed std_logic_textio std_logic_unsigned numeric_bit numeric_std math_complex math_real vital_primitives vital_timing
28std_types=boolean bit character severity_level integer real time delay_length natural positive string bit_vector file_open_kind file_open_status line text side width std_ulogic std_ulogic_vector std_logic std_logic_vector X01 X01Z UX01 UX01Z unsigned signed
29userwords=
30
31[settings]
32# default extension used when saving files
33extension=vhd
34
35# MIME type
36mime_type=text/x-vhdl
37
38# the following characters are these which a "word" can contains, see documentation
39#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
40
41# single comments, like # in this file
42comment_single=--
43# multiline comments
44#comment_open=
45#comment_close=
46
47# set to false if a comment character/string should start at column 0 of a line, true uses any
48# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
49	#command_example();
50# setting to false would generate this
51#	command_example();
52# This setting works only for single line comments
53comment_use_indent=true
54
55# context action command (please see Geany's main documentation for details)
56context_action_cmd=
57
58[indentation]
59#width=4
60# 0 is spaces, 1 is tabs, 2 is tab & spaces
61#type=1
62