1# frv testcase for bra $ICCi,$hint,$label16
2# mach: all
3
4	.include "testutils.inc"
5
6	start
7
8	.global bra
9bra:
10	set_icc		0x0 0
11	bra		ok1
12	fail
13ok1:
14	set_icc		0x1 1
15	bra		ok2
16	fail
17ok2:
18	set_icc		0x2 2
19	bra		ok3
20	fail
21ok3:
22	set_icc		0x3 3
23	bra		ok4
24	fail
25ok4:
26	set_icc		0x4 0
27	bra		ok5
28	fail
29ok5:
30	set_icc		0x5 1
31	bra		ok6
32	fail
33ok6:
34	set_icc		0x6 2
35	bra		ok7
36	fail
37ok7:
38	set_icc		0x7 3
39	bra		ok8
40	fail
41ok8:
42	set_icc		0x8 0
43	bra		ok9
44	fail
45ok9:
46	set_icc		0x9 1
47	bra		oka
48	fail
49oka:
50	set_icc		0xa 2
51	bra		okb
52	fail
53okb:
54	set_icc		0xb 3
55	bra		okc
56	fail
57okc:
58	set_icc		0xc 0
59	bra		okd
60	fail
61okd:
62	set_icc		0xd 1
63	bra		oke
64	fail
65oke:
66	set_icc		0xe 2
67	bra		okf
68	fail
69okf:
70	set_icc		0xf 3
71	bra		okg
72	fail
73okg:
74
75	pass
76