1 /* OPCODE.C     (c) Copyright Jan Jaeger, 2000-2010                  */
2 /*              (c) Copyright TurboHercules, SAS 2010                */
3 /*              Instruction decoding functions                       */
4 
5 /* Interpretive Execution - (c) Copyright Jan Jaeger, 1999-2009      */
6 /* z/Architecture support - (c) Copyright Jan Jaeger, 1999-2009      */
7 
8 #include "hstdinc.h"
9 
10 #if !defined(_HENGINE_DLL_)
11 #define _HENGINE_DLL_
12 #endif
13 
14 #if !defined(_OPCODE_C_)
15 #define _OPCODE_C_
16 #endif
17 
18 #include "feature.h"
19 
20 
21 #if !defined(_GEN_ARCH)
22 
23 #if defined(_ARCHMODE3)
24  #define  _GEN_ARCH _ARCHMODE3
25  #include "opcode.c"
26  #undef   _GEN_ARCH
27 #endif
28 
29 #if defined(_ARCHMODE2)
30  #define  _GEN_ARCH _ARCHMODE2
31  #include "opcode.c"
32  #undef   _GEN_ARCH
33 #endif
34 
35 #endif /*!defined(_GEN_ARCH)*/
36 
37 
38 #include "hercules.h"
39 
40 #include "opcode.h"
41 
42 
43 #define UNDEF_INST(_x) \
44         DEF_INST(_x) { ARCH_DEP(operation_exception) \
45         (inst,regs); }
46 
47 
48 #if !defined(FEATURE_CHANNEL_SUBSYSTEM)
49  UNDEF_INST(clear_subchannel)
UNDEF_INST(halt_subchannel)50  UNDEF_INST(halt_subchannel)
51  UNDEF_INST(modify_subchannel)
52  UNDEF_INST(reset_channel_path)
53  UNDEF_INST(resume_subchannel)
54  UNDEF_INST(set_address_limit)
55  UNDEF_INST(set_channel_monitor)
56  UNDEF_INST(start_subchannel)
57  UNDEF_INST(store_channel_path_status)
58  UNDEF_INST(store_channel_report_word)
59  UNDEF_INST(store_subchannel)
60  UNDEF_INST(test_pending_interruption)
61  UNDEF_INST(test_subchannel)
62 #endif /*!defined(FEATURE_CHANNEL_SUBSYSTEM)*/
63 
64 
65 #if !defined(FEATURE_S370_CHANNEL)
66  UNDEF_INST(start_io)
67  UNDEF_INST(test_io)
68  UNDEF_INST(halt_io)
69  UNDEF_INST(test_channel)
70  UNDEF_INST(store_channel_id)
71 #endif /*!defined(FEATURE_S370_CHANNEL)*/
72 
73 
74 #if !defined(FEATURE_IMMEDIATE_AND_RELATIVE)
75  UNDEF_INST(test_under_mask_high)
76  UNDEF_INST(test_under_mask_low)
77  UNDEF_INST(branch_relative_on_condition)
78  UNDEF_INST(branch_relative_and_save)
79  UNDEF_INST(branch_relative_on_count)
80  UNDEF_INST(load_halfword_immediate)
81  UNDEF_INST(add_halfword_immediate)
82  UNDEF_INST(multiply_halfword_immediate)
83  UNDEF_INST(compare_halfword_immediate)
84  UNDEF_INST(multiply_single_register)
85  UNDEF_INST(multiply_single)
86  UNDEF_INST(branch_relative_on_index_high)
87  UNDEF_INST(branch_relative_on_index_low_or_equal)
88 #endif /*!defined(FEATURE_IMMEDIATE_AND_RELATIVE)*/
89 
90 
91 #if !defined(FEATURE_STRING_INSTRUCTION)
92  UNDEF_INST(compare_logical_string)
93  UNDEF_INST(compare_until_substring_equal)
94  UNDEF_INST(move_string)
95  UNDEF_INST(search_string)
96 #endif /*!defined(FEATURE_STRING_INSTRUCTION)*/
97 
98 
99 #if !defined(FEATURE_COMPARE_AND_MOVE_EXTENDED)
100  UNDEF_INST(compare_logical_long_extended)
101  UNDEF_INST(move_long_extended)
102 #endif /*!defined(FEATURE_COMPARE_AND_MOVE_EXTENDED)*/
103 
104 
105 #if !defined(FEATURE_CHECKSUM_INSTRUCTION)
106  UNDEF_INST(checksum)
107 #endif /*!defined(FEATURE_CHECKSUM_INSTRUCTION)*/
108 
109 
110 #if !defined(FEATURE_PERFORM_LOCKED_OPERATION)
111  UNDEF_INST(perform_locked_operation)
112 #endif /*!defined(FEATURE_PERFORM_LOCKED_OPERATION)*/
113 
114 
115 #if !defined(FEATURE_SUBSPACE_GROUP)
116  UNDEF_INST(branch_in_subspace_group)
117 #endif /*!defined(FEATURE_SUBSPACE_GROUP)*/
118 
119 
120 #if !defined(FEATURE_SET_ADDRESS_SPACE_CONTROL_FAST)
121  UNDEF_INST(set_address_space_control_fast)
122 #else /*!defined(FEATURE_SET_ADDRESS_SPACE_CONTROL_FAST)*/
123  #define s390_set_address_space_control_fast s390_set_address_space_control
124  #define z900_set_address_space_control_fast z900_set_address_space_control
125 #endif /*!defined(FEATURE_SET_ADDRESS_SPACE_CONTROL_FAST)*/
126 
127 
128 #if !defined(FEATURE_BRANCH_AND_SET_AUTHORITY)
129  UNDEF_INST(branch_and_set_authority)
130 #endif /*!defined(FEATURE_BRANCH_AND_SET_AUTHORITY)*/
131 
132 
133 #if !defined(FEATURE_EXPANDED_STORAGE)
134  UNDEF_INST(page_in)
135  UNDEF_INST(page_out)
136 #endif /*!defined(FEATURE_EXPANDED_STORAGE)*/
137 
138 
139 #if !defined(FEATURE_BROADCASTED_PURGING)
140  UNDEF_INST(compare_and_swap_and_purge)
141 #endif /*!defined(FEATURE_BROADCASTED_PURGING)*/
142 
143 
144 #if !defined(FEATURE_BIMODAL_ADDRESSING)
145  UNDEF_INST(branch_and_set_mode)
146  UNDEF_INST(branch_and_save_and_set_mode)
147 #endif /*!defined(FEATURE_BIMODAL_ADDRESSING)*/
148 
149 
150 #if !defined(FEATURE_MOVE_PAGE_FACILITY_2)
151  UNDEF_INST(move_page)
152  UNDEF_INST(invalidate_expanded_storage_block_entry)
153 #endif /*!defined(FEATURE_MOVE_PAGE_FACILITY_2)*/
154 
155 
156 #if !defined(FEATURE_BASIC_STORAGE_KEYS)
157  UNDEF_INST(insert_storage_key)
158  UNDEF_INST(set_storage_key)
159  UNDEF_INST(reset_reference_bit)
160 #endif /*!defined(FEATURE_BASIC_STORAGE_KEYS)*/
161 
162 
163 #if !defined(FEATURE_LINKAGE_STACK)
164  UNDEF_INST(branch_and_stack)
165  UNDEF_INST(modify_stacked_state)
166  UNDEF_INST(extract_stacked_registers)
167  UNDEF_INST(extract_stacked_state)
168  UNDEF_INST(program_return)
169  UNDEF_INST(trap2)
170  UNDEF_INST(trap4)
171 #endif /*!defined(FEATURE_LINKAGE_STACK)*/
172 
173 
174 #if !defined(FEATURE_DUAL_ADDRESS_SPACE)
175  UNDEF_INST(extract_primary_asn)
176  UNDEF_INST(extract_secondary_asn)
177  UNDEF_INST(insert_address_space_control)
178  UNDEF_INST(insert_virtual_storage_key)
179  UNDEF_INST(load_address_space_parameters)
180  UNDEF_INST(move_to_primary)
181  UNDEF_INST(move_to_secondary)
182  UNDEF_INST(move_with_key)
183  UNDEF_INST(program_call)
184  UNDEF_INST(program_transfer)
185  UNDEF_INST(set_address_space_control)
186  UNDEF_INST(set_secondary_asn)
187 #endif /*!defined(FEATURE_DUAL_ADDRESS_SPACE)*/
188 
189 
190 #if !defined(FEATURE_ASN_AND_LX_REUSE)
191  UNDEF_INST(extract_primary_asn_and_instance)
192  UNDEF_INST(extract_secondary_asn_and_instance)
193  UNDEF_INST(program_transfer_with_instance)
194  UNDEF_INST(set_secondary_asn_with_instance)
195 #endif /*!defined(FEATURE_ASN_AND_LX_REUSE)*/
196 
197 
198 #if !defined(FEATURE_ACCESS_REGISTERS)
199  UNDEF_INST(load_access_multiple)
200  UNDEF_INST(store_access_multiple)
201  UNDEF_INST(purge_accesslist_lookaside_buffer)
202  UNDEF_INST(test_access)
203  UNDEF_INST(copy_access)
204  UNDEF_INST(set_access_register)
205  UNDEF_INST(extract_access_register)
206 #endif /*!defined(FEATURE_ACCESS_REGISTERS)*/
207 
208 
209 #if !defined(FEATURE_EXTENDED_STORAGE_KEYS)
210  UNDEF_INST(insert_storage_key_extended)
211  UNDEF_INST(reset_reference_bit_extended)
212  UNDEF_INST(set_storage_key_extended)
213 #endif /*!defined(FEATURE_EXTENDED_STORAGE_KEYS)*/
214 
215 
216 #if !defined(FEATURE_TOD_CLOCK_STEERING)
217  UNDEF_INST(perform_timing_facility_function)
218 #endif
219 
220 #if !defined(FEATURE_EXTENDED_TOD_CLOCK)
221  UNDEF_INST(set_clock_programmable_field)
222  UNDEF_INST(store_clock_extended)
223 #endif /*!defined(FEATURE_EXTENDED_TOD_CLOCK)*/
224 
225 #if !defined(FEATURE_MOVE_WITH_OPTIONAL_SPECIFICATIONS)
226  UNDEF_INST(move_with_optional_specifications)
227 #endif /*!defined(FEATURE_MOVE_WITH_OPTIONAL_SPECIFICATIONS)*/
228 
229 #if !defined(FEATURE_EXTRACT_CPU_TIME)
230  UNDEF_INST(extract_cpu_time)
231 #endif /*!defined(FEATURE_EXTRACT_CPU_TIME)*/
232 
233 #if !defined(FEATURE_COMPARE_AND_SWAP_AND_STORE)
234  UNDEF_INST(compare_and_swap_and_store)
235 #endif /*!defined(FEATURE_COMPARE_AND_SWAP_AND_STORE)*/
236 
237 #if !defined(FEATURE_STORE_SYSTEM_INFORMATION)
238  UNDEF_INST(store_system_information)
239 #endif /*!defined(FEATURE_STORE_SYSTEM_INFORMATION)*/
240 
241 #if !defined(FEATURE_CONFIGURATION_TOPOLOGY_FACILITY)           /*208*/
242  UNDEF_INST(perform_topology_function)                          /*208*/
243 #endif /*!defined(FEATURE_CONFIGURATION_TOPOLOGY_FACILITY)*/    /*208*/
244 
245 #if !defined(FEATURE_ENHANCED_DAT_FACILITY)                     /*208*/
246  UNDEF_INST(perform_frame_management_function)                  /*208*/
247 #endif /*!defined(FEATURE_ENHANCED_DAT_FACILITY)*/              /*208*/
248 
249 #if !defined(FEATURE_ENHANCED_DAT_FACILITY_2)                   /*912*/
250  UNDEF_INST(compare_and_replace_dat_table_entry)                /*912*/
251 #endif /*!defined(FEATURE_ENHANCED_DAT_FACILITY_2)*/            /*912*/
252 
253 #if !defined(FEATURE_EXECUTE_EXTENSIONS_FACILITY)               /*208*/
254  UNDEF_INST(execute_relative_long)                              /*208*/
255 #endif /*!defined(FEATURE_EXECUTE_EXTENSIONS_FACILITY)*/        /*208*/
256 
257 #if !defined(FEATURE_EXECUTION_HINT_FACILITY)                   /*912*/
258  UNDEF_INST(branch_prediction_preload)                          /*912*/
259  UNDEF_INST(branch_prediction_relative_preload)                 /*912*/
260  UNDEF_INST(next_instruction_access_intent)                     /*912*/
261 #endif /*!defined(FEATURE_EXECUTION_HINT_FACILITY)*/            /*912*/
262 
263 #if !defined(FEATURE_GENERAL_INSTRUCTIONS_EXTENSION_FACILITY)   /*208*/
264  UNDEF_INST(add_immediate_long_storage)
265  UNDEF_INST(add_immediate_storage)
266  UNDEF_INST(add_logical_with_signed_immediate)
267  UNDEF_INST(add_logical_with_signed_immediate_long)
268  UNDEF_INST(compare_and_branch_register)
269  UNDEF_INST(compare_and_branch_long_register)
270  UNDEF_INST(compare_and_branch_relative_register)
271  UNDEF_INST(compare_and_branch_relative_long_register)
272  UNDEF_INST(compare_and_trap_long_register)
273  UNDEF_INST(compare_and_trap_register)
274  UNDEF_INST(compare_halfword_immediate_halfword_storage)
275  UNDEF_INST(compare_halfword_immediate_long_storage)
276  UNDEF_INST(compare_halfword_immediate_storage)
277  UNDEF_INST(compare_halfword_long)
278  UNDEF_INST(compare_halfword_relative_long)
279  UNDEF_INST(compare_halfword_relative_long_long)
280  UNDEF_INST(compare_immediate_and_branch)
281  UNDEF_INST(compare_immediate_and_branch_long)
282  UNDEF_INST(compare_immediate_and_branch_relative)
283  UNDEF_INST(compare_immediate_and_branch_relative_long)
284  UNDEF_INST(compare_immediate_and_trap)
285  UNDEF_INST(compare_immediate_and_trap_long)
286  UNDEF_INST(compare_logical_and_branch_long_register)
287  UNDEF_INST(compare_logical_and_branch_register)
288  UNDEF_INST(compare_logical_and_branch_relative_long_register)
289  UNDEF_INST(compare_logical_and_branch_relative_register)
290  UNDEF_INST(compare_logical_and_trap_long_register)
291  UNDEF_INST(compare_logical_and_trap_register)
292  UNDEF_INST(compare_logical_immediate_and_branch)
293  UNDEF_INST(compare_logical_immediate_and_branch_long)
294  UNDEF_INST(compare_logical_immediate_and_branch_relative)
295  UNDEF_INST(compare_logical_immediate_and_branch_relative_long)
296  UNDEF_INST(compare_logical_immediate_and_trap_fullword)
297  UNDEF_INST(compare_logical_immediate_and_trap_long)
298  UNDEF_INST(compare_logical_immediate_fullword_storage)
299  UNDEF_INST(compare_logical_immediate_halfword_storage)
300  UNDEF_INST(compare_logical_immediate_long_storage)
301  UNDEF_INST(compare_logical_relative_long)
302  UNDEF_INST(compare_logical_relative_long_halfword)
303  UNDEF_INST(compare_logical_relative_long_long)
304  UNDEF_INST(compare_logical_relative_long_long_fullword)
305  UNDEF_INST(compare_logical_relative_long_long_halfword)
306  UNDEF_INST(compare_relative_long)
307  UNDEF_INST(compare_relative_long_long)
308  UNDEF_INST(compare_relative_long_long_fullword)
309  UNDEF_INST(extract_cache_attribute)
310  UNDEF_INST(load_address_extended_y)
311  UNDEF_INST(load_and_test_long_fullword)
312  UNDEF_INST(load_halfword_relative_long)
313  UNDEF_INST(load_halfword_relative_long_long)
314  UNDEF_INST(load_logical_halfword_relative_long)
315  UNDEF_INST(load_logical_halfword_relative_long_long)
316  UNDEF_INST(load_logical_relative_long_long_fullword)
317  UNDEF_INST(load_relative_long)
318  UNDEF_INST(load_relative_long_long)
319  UNDEF_INST(load_relative_long_long_fullword)
320  UNDEF_INST(move_fullword_from_halfword_immediate)
321  UNDEF_INST(move_halfword_from_halfword_immediate)
322  UNDEF_INST(move_long_from_halfword_immediate)
323  UNDEF_INST(multiply_halfword_y)
324  UNDEF_INST(multiply_single_immediate_fullword)
325  UNDEF_INST(multiply_single_immediate_long_fullword)
326  UNDEF_INST(multiply_y)
327  UNDEF_INST(prefetch_data)
328  UNDEF_INST(prefetch_data_relative_long)
329  UNDEF_INST(rotate_then_and_selected_bits_long_reg)
330  UNDEF_INST(rotate_then_exclusive_or_selected_bits_long_reg)
331  UNDEF_INST(rotate_then_insert_selected_bits_long_reg)
332  UNDEF_INST(rotate_then_or_selected_bits_long_reg)
333  UNDEF_INST(store_halfword_relative_long)
334  UNDEF_INST(store_relative_long)
335  UNDEF_INST(store_relative_long_long)
336 #endif /*!defined(FEATURE_GENERAL_INSTRUCTIONS_EXTENSION_FACILITY)*/ /*208*/
337 
338 #if !defined(FEATURE_PARSING_ENHANCEMENT_FACILITY)              /*208*/
339  UNDEF_INST(translate_and_test_extended)                        /*208*/
340  UNDEF_INST(translate_and_test_reverse_extended)                /*208*/
341 #endif /*!defined(FEATURE_PARSING_ENHANCEMENT_FACILITY)*/       /*208*/
342 
343 #if !defined(FEATURE_HIGH_WORD_FACILITY)                        /*810*/
344  UNDEF_INST(add_high_high_high_register)                        /*810*/
345  UNDEF_INST(add_high_high_low_register)                         /*810*/
346  UNDEF_INST(add_high_immediate)                                 /*810*/
347  UNDEF_INST(add_logical_high_high_high_register)                /*810*/
348  UNDEF_INST(add_logical_high_high_low_register)                 /*810*/
349  UNDEF_INST(add_logical_with_signed_immediate_high)             /*810*/
350  UNDEF_INST(add_logical_with_signed_immediate_high_n)           /*810*/
351  UNDEF_INST(branch_relative_on_count_high)                      /*810*/
352  UNDEF_INST(compare_high_high_register)                         /*810*/
353  UNDEF_INST(compare_high_low_register)                          /*810*/
354  UNDEF_INST(compare_high_fullword)                              /*810*/
355  UNDEF_INST(compare_high_immediate)                             /*810*/
356  UNDEF_INST(compare_logical_high_high_register)                 /*810*/
357  UNDEF_INST(compare_logical_high_low_register)                  /*810*/
358  UNDEF_INST(compare_logical_high_fullword)                      /*810*/
359  UNDEF_INST(compare_logical_high_immediate)                     /*810*/
360  UNDEF_INST(load_byte_high)                                     /*810*/
361  UNDEF_INST(load_fullword_high)                                 /*810*/
362  UNDEF_INST(load_halfword_high)                                 /*810*/
363  UNDEF_INST(load_logical_character_high)                        /*810*/
364  UNDEF_INST(load_logical_halfword_high)                         /*810*/
365  UNDEF_INST(rotate_then_insert_selected_bits_high_long_reg)     /*810*/
366  UNDEF_INST(rotate_then_insert_selected_bits_low_long_reg)      /*810*/
367  UNDEF_INST(store_character_high)                               /*810*/
368  UNDEF_INST(store_fullword_high)                                /*810*/
369  UNDEF_INST(store_halfword_high)                                /*810*/
370  UNDEF_INST(subtract_high_high_high_register)                   /*810*/
371  UNDEF_INST(subtract_high_high_low_register)                    /*810*/
372  UNDEF_INST(subtract_logical_high_high_high_register)           /*810*/
373  UNDEF_INST(subtract_logical_high_high_low_register)            /*810*/
374 #endif /*!defined(FEATURE_HIGH_WORD_FACILITY)*/                 /*810*/
375 
376 #if !defined(FEATURE_INTERLOCKED_ACCESS_FACILITY)               /*810*/
377  UNDEF_INST(load_and_add)                                       /*810*/
378  UNDEF_INST(load_and_add_long)                                  /*810*/
379  UNDEF_INST(load_and_add_logical)                               /*810*/
380  UNDEF_INST(load_and_add_logical_long)                          /*810*/
381  UNDEF_INST(load_and_and)                                       /*810*/
382  UNDEF_INST(load_and_and_long)                                  /*810*/
383  UNDEF_INST(load_and_exclusive_or)                              /*810*/
384  UNDEF_INST(load_and_exclusive_or_long)                         /*810*/
385  UNDEF_INST(load_and_or)                                        /*810*/
386  UNDEF_INST(load_and_or_long)                                   /*810*/
387  UNDEF_INST(load_pair_disjoint)                                 /*810*/
388  UNDEF_INST(load_pair_disjoint_long)                            /*810*/
389 #endif /*!defined(FEATURE_INTERLOCKED_ACCESS_FACILITY)*/        /*810*/
390 
391 #if !defined(FEATURE_LOAD_STORE_ON_CONDITION_FACILITY)          /*810*/
392  UNDEF_INST(load_on_condition_register)                         /*810*/
393  UNDEF_INST(load_on_condition_long_register)                    /*810*/
394  UNDEF_INST(load_on_condition)                                  /*810*/
395  UNDEF_INST(load_on_condition_long)                             /*810*/
396  UNDEF_INST(store_on_condition)                                 /*810*/
397  UNDEF_INST(store_on_condition_long)                            /*810*/
398 #endif /*!defined(FEATURE_LOAD_STORE_ON_CONDITION_FACILITY)*/   /*810*/
399 
400 #if !defined(FEATURE_DISTINCT_OPERANDS_FACILITY)                /*810*/
401  UNDEF_INST(add_distinct_register)                              /*810*/
402  UNDEF_INST(add_distinct_long_register)                         /*810*/
403  UNDEF_INST(add_distinct_halfword_immediate)                    /*810*/
404  UNDEF_INST(add_distinct_long_halfword_immediate)               /*810*/
405  UNDEF_INST(add_logical_distinct_register)                      /*810*/
406  UNDEF_INST(add_logical_distinct_long_register)                 /*810*/
407  UNDEF_INST(add_logical_distinct_signed_halfword_immediate)     /*810*/
408  UNDEF_INST(add_logical_distinct_long_signed_halfword_immediate)/*810*/
409  UNDEF_INST(and_distinct_register)                              /*810*/
410  UNDEF_INST(and_distinct_long_register)                         /*810*/
411  UNDEF_INST(exclusive_or_distinct_register)                     /*810*/
412  UNDEF_INST(exclusive_or_distinct_long_register)                /*810*/
413  UNDEF_INST(or_distinct_register)                               /*810*/
414  UNDEF_INST(or_distinct_long_register)                          /*810*/
415  UNDEF_INST(shift_left_single_distinct)                         /*810*/
416  UNDEF_INST(shift_left_single_logical_distinct)                 /*810*/
417  UNDEF_INST(shift_right_single_distinct)                        /*810*/
418  UNDEF_INST(shift_right_single_logical_distinct)                /*810*/
419  UNDEF_INST(subtract_distinct_register)                         /*810*/
420  UNDEF_INST(subtract_distinct_long_register)                    /*810*/
421  UNDEF_INST(subtract_logical_distinct_register)                 /*810*/
422  UNDEF_INST(subtract_logical_distinct_long_register)            /*810*/
423 #endif /*!defined(FEATURE_DISTINCT_OPERANDS_FACILITY)*/         /*810*/
424 
425 #if !defined(FEATURE_POPULATION_COUNT_FACILITY)                 /*810*/
426  UNDEF_INST(population_count)                                   /*810*/
427 #endif /*!defined(FEATURE_POPULATION_COUNT_FACILITY)*/          /*810*/
428 
429 #if !defined(FEATURE_RESET_REFERENCE_BITS_MULTIPLE_FACILITY)    /*810*/
430  UNDEF_INST(reset_reference_bits_multiple)                      /*810*/
431 #endif /*!defined(FEATURE_RESET_REFERENCE_BITS_MULTIPLE_FACILITY)*/
432 
433 #if !defined(FEATURE_LOAD_AND_TRAP_FACILITY)                    /*912*/
434  UNDEF_INST(load_and_trap)                                      /*912*/
435  UNDEF_INST(load_long_and_trap)                                 /*912*/
436  UNDEF_INST(load_fullword_high_and_trap)                        /*912*/
437  UNDEF_INST(load_logical_long_fullword_and_trap)                /*912*/
438  UNDEF_INST(load_logical_long_thirtyone_and_trap)               /*912*/
439 #endif /*!defined(FEATURE_LOAD_AND_TRAP_FACILITY)*/             /*912*/
440 
441 #if !defined(FEATURE_MISC_INSTRUCTION_EXTENSIONS_FACILITY)      /*912*/
442  UNDEF_INST(compare_logical_and_trap)                           /*912*/
443  UNDEF_INST(compare_logical_and_trap_long)                      /*912*/
444  UNDEF_INST(rotate_then_insert_selected_bits_long_reg_n)        /*912*/
445 #endif /*!defined(FEATURE_MISC_INSTRUCTION_EXTENSIONS_FACILITY)*/
446 
447 #if !defined(FEATURE_VECTOR_FACILITY)
448  UNDEF_INST(execute_a4xx)
449  #if !defined(FEATURE_ESAME) && !defined(FEATURE_ESAME_N3_ESA390)
450   UNDEF_INST(execute_a5xx)
451  #endif /*!defined(FEATURE_ESAME) && !defined(FEATURE_ESAME_N3_ESA390)*/
452 
453  UNDEF_INST(execute_a6xx)
454  UNDEF_INST(execute_e4xx)
455 
456  UNDEF_INST(v_test_vmr)
457  UNDEF_INST(v_complement_vmr)
458  UNDEF_INST(v_count_left_zeros_in_vmr)
459  UNDEF_INST(v_count_ones_in_vmr)
460  UNDEF_INST(v_extract_vct)
461  UNDEF_INST(v_extract_vector_modes)
462  UNDEF_INST(v_restore_vr)
463  UNDEF_INST(v_save_changed_vr)
464  UNDEF_INST(v_save_vr)
465  UNDEF_INST(v_load_vmr)
466  UNDEF_INST(v_load_vmr_complement)
467  UNDEF_INST(v_store_vmr)
468  UNDEF_INST(v_and_to_vmr)
469  UNDEF_INST(v_or_to_vmr)
470  UNDEF_INST(v_exclusive_or_to_vmr)
471  UNDEF_INST(v_save_vsr)
472  UNDEF_INST(v_save_vmr)
473  UNDEF_INST(v_restore_vsr)
474  UNDEF_INST(v_restore_vmr)
475  UNDEF_INST(v_load_vct_from_address)
476  UNDEF_INST(v_clear_vr)
477  UNDEF_INST(v_set_vector_mask_mode)
478  UNDEF_INST(v_load_vix_from_address)
479  UNDEF_INST(v_store_vector_parameters)
480  UNDEF_INST(v_save_vac)
481  UNDEF_INST(v_restore_vac)
482 #endif /*!defined(FEATURE_VECTOR_FACILITY)*/
483 
484 
485 #if !defined(FEATURE_ESAME) && !defined(FEATURE_ESAME_N3_ESA390)
486  UNDEF_INST(execute_e3xx)
487  UNDEF_INST(execute_ecxx)
488  UNDEF_INST(execute_c0xx)
489  UNDEF_INST(execute_c2xx)                                       /*@Z9*/
490 #endif /*!defined(FEATURE_ESAME) && !defined(FEATURE_ESAME_N3_ESA390)*/
491 
492 #if !defined(FEATURE_ESAME)
493  UNDEF_INST(execute_c8xx)
494 #endif /*!defined(FEATURE_ESAME)*/
495 
496 #if !defined(FEATURE_BASIC_FP_EXTENSIONS)
497  UNDEF_INST(execute_b3xx)
498  UNDEF_INST(execute_edxx)
499 #endif /*!defined(FEATURE_BASIC_FP_EXTENSIONS)*/
500 
501 
502 #if !defined(FEATURE_HEXADECIMAL_FLOATING_POINT)
503  UNDEF_INST(load_positive_float_long_reg)
504  UNDEF_INST(load_negative_float_long_reg)
505  UNDEF_INST(load_and_test_float_long_reg)
506  UNDEF_INST(load_complement_float_long_reg)
507  UNDEF_INST(halve_float_long_reg)
508  UNDEF_INST(load_rounded_float_long_reg)
509  UNDEF_INST(multiply_float_ext_reg)
510  UNDEF_INST(multiply_float_long_to_ext_reg)
511  UNDEF_INST(load_float_long_reg)
512  UNDEF_INST(compare_float_long_reg)
513  UNDEF_INST(add_float_long_reg)
514  UNDEF_INST(subtract_float_long_reg)
515  UNDEF_INST(multiply_float_long_reg)
516  UNDEF_INST(divide_float_long_reg)
517  UNDEF_INST(add_unnormal_float_long_reg)
518  UNDEF_INST(subtract_unnormal_float_long_reg)
519  UNDEF_INST(load_positive_float_short_reg)
520  UNDEF_INST(load_negative_float_short_reg)
521  UNDEF_INST(load_and_test_float_short_reg)
522  UNDEF_INST(load_complement_float_short_reg)
523  UNDEF_INST(halve_float_short_reg)
524  UNDEF_INST(load_rounded_float_short_reg)
525  UNDEF_INST(add_float_ext_reg)
526  UNDEF_INST(subtract_float_ext_reg)
527  UNDEF_INST(load_float_short_reg)
528  UNDEF_INST(compare_float_short_reg)
529  UNDEF_INST(add_float_short_reg)
530  UNDEF_INST(subtract_float_short_reg)
531  UNDEF_INST(multiply_float_short_to_long_reg)
532  UNDEF_INST(divide_float_short_reg)
533  UNDEF_INST(add_unnormal_float_short_reg)
534  UNDEF_INST(subtract_unnormal_float_short_reg)
535  UNDEF_INST(store_float_long)
536  UNDEF_INST(multiply_float_long_to_ext)
537  UNDEF_INST(load_float_long)
538  UNDEF_INST(compare_float_long)
539  UNDEF_INST(add_float_long)
540  UNDEF_INST(subtract_float_long)
541  UNDEF_INST(multiply_float_long)
542  UNDEF_INST(divide_float_long)
543  UNDEF_INST(add_unnormal_float_long)
544  UNDEF_INST(subtract_unnormal_float_long)
545  UNDEF_INST(store_float_short)
546  UNDEF_INST(load_float_short)
547  UNDEF_INST(compare_float_short)
548  UNDEF_INST(add_float_short)
549  UNDEF_INST(subtract_float_short)
550  UNDEF_INST(multiply_float_short_to_long)
551  UNDEF_INST(divide_float_short)
552  UNDEF_INST(add_unnormal_float_short)
553  UNDEF_INST(subtract_unnormal_float_short)
554  UNDEF_INST(divide_float_ext_reg)
555 #endif /*!defined(FEATURE_HEXADECIMAL_FLOATING_POINT)*/
556 
557 
558 #if !defined(FEATURE_HFP_EXTENSIONS)
559  UNDEF_INST(load_lengthened_float_short_to_long_reg)
560  UNDEF_INST(load_lengthened_float_long_to_ext_reg)
561  UNDEF_INST(load_lengthened_float_short_to_ext_reg)
562  UNDEF_INST(squareroot_float_ext_reg)
563  UNDEF_INST(multiply_float_short_reg)
564  UNDEF_INST(load_positive_float_ext_reg)
565  UNDEF_INST(load_negative_float_ext_reg)
566  UNDEF_INST(load_and_test_float_ext_reg)
567  UNDEF_INST(load_complement_float_ext_reg)
568  UNDEF_INST(load_rounded_float_ext_to_short_reg)
569  UNDEF_INST(load_fp_int_float_ext_reg)
570  UNDEF_INST(compare_float_ext_reg)
571  UNDEF_INST(load_fp_int_float_short_reg)
572  UNDEF_INST(load_fp_int_float_long_reg)
573  UNDEF_INST(convert_fixed_to_float_short_reg)
574  UNDEF_INST(convert_fixed_to_float_long_reg)
575  UNDEF_INST(convert_fixed_to_float_ext_reg)
576  UNDEF_INST(convert_float_short_to_fixed_reg)
577  UNDEF_INST(convert_float_long_to_fixed_reg)
578  UNDEF_INST(convert_float_ext_to_fixed_reg)
579  UNDEF_INST(load_lengthened_float_short_to_long)
580  UNDEF_INST(load_lengthened_float_long_to_ext)
581  UNDEF_INST(load_lengthened_float_short_to_ext)
582  UNDEF_INST(squareroot_float_short)
583  UNDEF_INST(squareroot_float_long)
584  UNDEF_INST(multiply_float_short)
585 #endif /*!defined(FEATURE_HFP_EXTENSIONS)*/
586 
587 
588 #if !defined(FEATURE_FPS_EXTENSIONS)
589  UNDEF_INST(convert_bfp_long_to_float_long_reg)
590  UNDEF_INST(convert_bfp_short_to_float_long_reg)
591  UNDEF_INST(convert_float_long_to_bfp_long_reg)
592  UNDEF_INST(convert_float_long_to_bfp_short_reg)
593  UNDEF_INST(load_float_ext_reg)
594  UNDEF_INST(load_zero_float_ext_reg)
595  UNDEF_INST(load_zero_float_long_reg)
596  UNDEF_INST(load_zero_float_short_reg)
597 #endif /*!defined(FEATURE_FPS_EXTENSIONS)*/
598 
599 
600 #if !defined(FEATURE_FPS_ENHANCEMENT)
601  UNDEF_INST(copy_sign_fpr_long_reg)
602  UNDEF_INST(load_complement_fpr_long_reg)
603  UNDEF_INST(load_fpr_from_gr_long_reg)
604  UNDEF_INST(load_gr_from_fpr_long_reg)
605  UNDEF_INST(load_negative_fpr_long_reg)
606  UNDEF_INST(load_positive_fpr_long_reg)
607  UNDEF_INST(set_dfp_rounding_mode)
608 #endif /*!defined(FEATURE_FPS_ENHANCEMENT)*/
609 
610 
611 #if !defined(FEATURE_IEEE_EXCEPTION_SIMULATION)
612  UNDEF_INST(load_fpc_and_signal)
613  UNDEF_INST(set_fpc_and_signal)
614 #endif /*!defined(FEATURE_IEEE_EXCEPTION_SIMULATION)*/
615 
616 
617 #if !defined(FEATURE_HFP_MULTIPLY_ADD_SUBTRACT)
618  UNDEF_INST(multiply_add_float_short_reg)
619  UNDEF_INST(multiply_add_float_long_reg)
620  UNDEF_INST(multiply_add_float_short)
621  UNDEF_INST(multiply_add_float_long)
622  UNDEF_INST(multiply_subtract_float_short_reg)
623  UNDEF_INST(multiply_subtract_float_long_reg)
624  UNDEF_INST(multiply_subtract_float_short)
625  UNDEF_INST(multiply_subtract_float_long)
626 #endif /*!defined(FEATURE_HFP_MULTIPLY_ADD_SUBTRACT)*/
627 
628 
629 #if !defined(FEATURE_HFP_UNNORMALIZED_EXTENSION)                /*@Z9*/
630  UNDEF_INST(multiply_unnormal_float_long_to_ext_reg)            /*@Z9*/
631  UNDEF_INST(multiply_unnormal_float_long_to_ext_low_reg)        /*@Z9*/
632  UNDEF_INST(multiply_unnormal_float_long_to_ext_high_reg)       /*@Z9*/
633  UNDEF_INST(multiply_add_unnormal_float_long_to_ext_reg)        /*@Z9*/
634  UNDEF_INST(multiply_add_unnormal_float_long_to_ext_low_reg)    /*@Z9*/
635  UNDEF_INST(multiply_add_unnormal_float_long_to_ext_high_reg)   /*@Z9*/
636  UNDEF_INST(multiply_unnormal_float_long_to_ext)                /*@Z9*/
637  UNDEF_INST(multiply_unnormal_float_long_to_ext_low)            /*@Z9*/
638  UNDEF_INST(multiply_unnormal_float_long_to_ext_high)           /*@Z9*/
639  UNDEF_INST(multiply_add_unnormal_float_long_to_ext)            /*@Z9*/
640  UNDEF_INST(multiply_add_unnormal_float_long_to_ext_low)        /*@Z9*/
641  UNDEF_INST(multiply_add_unnormal_float_long_to_ext_high)       /*@Z9*/
642 #endif /*!defined(FEATURE_HFP_UNNORMALIZED_EXTENSION)*/         /*@Z9*/
643 
644 
645 #if !defined(FEATURE_BINARY_FLOATING_POINT)
646  UNDEF_INST(store_fpc)
647  UNDEF_INST(load_fpc)
648  UNDEF_INST(set_fpc)
649  UNDEF_INST(extract_fpc)
650  UNDEF_INST(set_bfp_rounding_mode_2bit)
651 #endif /*!defined(FEATURE_BINARY_FLOATING_POINT)*/
652 
653 
654 #if !defined(FEATURE_BINARY_FLOATING_POINT)
655  UNDEF_INST(add_bfp_ext_reg)
656  UNDEF_INST(add_bfp_long)
657  UNDEF_INST(add_bfp_long_reg)
658  UNDEF_INST(add_bfp_short)
659  UNDEF_INST(add_bfp_short_reg)
660  UNDEF_INST(compare_and_signal_bfp_ext_reg)
661  UNDEF_INST(compare_and_signal_bfp_long)
662  UNDEF_INST(compare_and_signal_bfp_long_reg)
663  UNDEF_INST(compare_and_signal_bfp_short)
664  UNDEF_INST(compare_and_signal_bfp_short_reg)
665  UNDEF_INST(compare_bfp_ext_reg)
666  UNDEF_INST(compare_bfp_long)
667  UNDEF_INST(compare_bfp_long_reg)
668  UNDEF_INST(compare_bfp_short)
669  UNDEF_INST(compare_bfp_short_reg)
670  UNDEF_INST(convert_bfp_ext_to_fix32_reg)
671  UNDEF_INST(convert_bfp_long_to_fix32_reg)
672  UNDEF_INST(convert_bfp_short_to_fix32_reg)
673  UNDEF_INST(convert_fix32_to_bfp_ext_reg)
674  UNDEF_INST(convert_fix32_to_bfp_long_reg)
675  UNDEF_INST(convert_fix32_to_bfp_short_reg)
676  UNDEF_INST(convert_fix64_to_bfp_ext_reg)
677  UNDEF_INST(convert_fix64_to_bfp_long_reg)
678  UNDEF_INST(convert_fix64_to_bfp_short_reg)
679  UNDEF_INST(convert_bfp_ext_to_fix64_reg)
680  UNDEF_INST(convert_bfp_long_to_fix64_reg)
681  UNDEF_INST(convert_bfp_short_to_fix64_reg)
682  UNDEF_INST(divide_bfp_ext_reg)
683  UNDEF_INST(divide_bfp_long)
684  UNDEF_INST(divide_bfp_long_reg)
685  UNDEF_INST(divide_bfp_short)
686  UNDEF_INST(divide_bfp_short_reg)
687  UNDEF_INST(divide_integer_bfp_long_reg)
688  UNDEF_INST(divide_integer_bfp_short_reg)
689  UNDEF_INST(load_and_test_bfp_ext_reg)
690  UNDEF_INST(load_and_test_bfp_long_reg)
691  UNDEF_INST(load_and_test_bfp_short_reg)
692  UNDEF_INST(load_fp_int_bfp_ext_reg)
693  UNDEF_INST(load_fp_int_bfp_long_reg)
694  UNDEF_INST(load_fp_int_bfp_short_reg)
695  UNDEF_INST(load_complement_bfp_ext_reg)
696  UNDEF_INST(load_complement_bfp_long_reg)
697  UNDEF_INST(load_complement_bfp_short_reg)
698  UNDEF_INST(load_negative_bfp_ext_reg)
699  UNDEF_INST(load_negative_bfp_long_reg)
700  UNDEF_INST(load_negative_bfp_short_reg)
701  UNDEF_INST(load_positive_bfp_ext_reg)
702  UNDEF_INST(load_positive_bfp_long_reg)
703  UNDEF_INST(load_positive_bfp_short_reg)
704  UNDEF_INST(load_lengthened_bfp_short_to_long)
705  UNDEF_INST(load_lengthened_bfp_short_to_long_reg)
706  UNDEF_INST(load_lengthened_bfp_long_to_ext)
707  UNDEF_INST(load_lengthened_bfp_long_to_ext_reg)
708  UNDEF_INST(load_lengthened_bfp_short_to_ext)
709  UNDEF_INST(load_lengthened_bfp_short_to_ext_reg)
710  UNDEF_INST(load_rounded_bfp_long_to_short_reg)
711  UNDEF_INST(load_rounded_bfp_ext_to_long_reg)
712  UNDEF_INST(load_rounded_bfp_ext_to_short_reg)
713  UNDEF_INST(multiply_bfp_ext_reg)
714  UNDEF_INST(multiply_bfp_long_to_ext_reg)
715  UNDEF_INST(multiply_bfp_long_to_ext)
716  UNDEF_INST(multiply_bfp_long)
717  UNDEF_INST(multiply_bfp_long_reg)
718  UNDEF_INST(multiply_bfp_short_to_long_reg)
719  UNDEF_INST(multiply_bfp_short_to_long)
720  UNDEF_INST(multiply_bfp_short)
721  UNDEF_INST(multiply_bfp_short_reg)
722  UNDEF_INST(multiply_add_bfp_long_reg)
723  UNDEF_INST(multiply_add_bfp_long)
724  UNDEF_INST(multiply_add_bfp_short_reg)
725  UNDEF_INST(multiply_add_bfp_short)
726  UNDEF_INST(multiply_subtract_bfp_long_reg)
727  UNDEF_INST(multiply_subtract_bfp_long)
728  UNDEF_INST(multiply_subtract_bfp_short_reg)
729  UNDEF_INST(multiply_subtract_bfp_short)
730  UNDEF_INST(squareroot_bfp_ext_reg)
731  UNDEF_INST(squareroot_bfp_long)
732  UNDEF_INST(squareroot_bfp_long_reg)
733  UNDEF_INST(squareroot_bfp_short)
734  UNDEF_INST(squareroot_bfp_short_reg)
735  UNDEF_INST(subtract_bfp_ext_reg)
736  UNDEF_INST(subtract_bfp_long)
737  UNDEF_INST(subtract_bfp_long_reg)
738  UNDEF_INST(subtract_bfp_short)
739  UNDEF_INST(subtract_bfp_short_reg)
740  UNDEF_INST(test_data_class_bfp_short)
741  UNDEF_INST(test_data_class_bfp_long)
742  UNDEF_INST(test_data_class_bfp_ext)
743 #endif /*!defined(FEATURE_BINARY_FLOATING_POINT)*/
744 
745 
746 #if !defined(FEATURE_DECIMAL_FLOATING_POINT)
747  UNDEF_INST(add_dfp_ext_reg)
748  UNDEF_INST(add_dfp_long_reg)
749  UNDEF_INST(compare_dfp_ext_reg)
750  UNDEF_INST(compare_dfp_long_reg)
751  UNDEF_INST(compare_and_signal_dfp_ext_reg)
752  UNDEF_INST(compare_and_signal_dfp_long_reg)
753  UNDEF_INST(compare_exponent_dfp_ext_reg)
754  UNDEF_INST(compare_exponent_dfp_long_reg)
755  UNDEF_INST(convert_fix64_to_dfp_ext_reg)
756  UNDEF_INST(convert_fix64_to_dfp_long_reg)
757  UNDEF_INST(convert_sbcd128_to_dfp_ext_reg)
758  UNDEF_INST(convert_sbcd64_to_dfp_long_reg)
759  UNDEF_INST(convert_ubcd128_to_dfp_ext_reg)
760  UNDEF_INST(convert_ubcd64_to_dfp_long_reg)
761  UNDEF_INST(convert_dfp_ext_to_fix64_reg)
762  UNDEF_INST(convert_dfp_long_to_fix64_reg)
763  UNDEF_INST(convert_dfp_ext_to_sbcd128_reg)
764  UNDEF_INST(convert_dfp_long_to_sbcd64_reg)
765  UNDEF_INST(convert_dfp_ext_to_ubcd128_reg)
766  UNDEF_INST(convert_dfp_long_to_ubcd64_reg)
767  UNDEF_INST(divide_dfp_ext_reg)
768  UNDEF_INST(divide_dfp_long_reg)
769  UNDEF_INST(extract_biased_exponent_dfp_ext_to_fix64_reg)
770  UNDEF_INST(extract_biased_exponent_dfp_long_to_fix64_reg)
771  UNDEF_INST(extract_significance_dfp_ext_reg)
772  UNDEF_INST(extract_significance_dfp_long_reg)
773  UNDEF_INST(insert_biased_exponent_fix64_to_dfp_ext_reg)
774  UNDEF_INST(insert_biased_exponent_fix64_to_dfp_long_reg)
775  UNDEF_INST(load_and_test_dfp_ext_reg)
776  UNDEF_INST(load_and_test_dfp_long_reg)
777  UNDEF_INST(load_fp_int_dfp_ext_reg)
778  UNDEF_INST(load_fp_int_dfp_long_reg)
779  UNDEF_INST(load_lengthened_dfp_long_to_ext_reg)
780  UNDEF_INST(load_lengthened_dfp_short_to_long_reg)
781  UNDEF_INST(load_rounded_dfp_ext_to_long_reg)
782  UNDEF_INST(load_rounded_dfp_long_to_short_reg)
783  UNDEF_INST(multiply_dfp_ext_reg)
784  UNDEF_INST(multiply_dfp_long_reg)
785  UNDEF_INST(quantize_dfp_ext_reg)
786  UNDEF_INST(quantize_dfp_long_reg)
787  UNDEF_INST(reround_dfp_ext_reg)
788  UNDEF_INST(reround_dfp_long_reg)
789  UNDEF_INST(shift_coefficient_left_dfp_ext)
790  UNDEF_INST(shift_coefficient_left_dfp_long)
791  UNDEF_INST(shift_coefficient_right_dfp_ext)
792  UNDEF_INST(shift_coefficient_right_dfp_long)
793  UNDEF_INST(subtract_dfp_ext_reg)
794  UNDEF_INST(subtract_dfp_long_reg)
795  UNDEF_INST(test_data_class_dfp_ext)
796  UNDEF_INST(test_data_class_dfp_long)
797  UNDEF_INST(test_data_class_dfp_short)
798  UNDEF_INST(test_data_group_dfp_ext)
799  UNDEF_INST(test_data_group_dfp_long)
800  UNDEF_INST(test_data_group_dfp_short)
801 #endif /*!defined(FEATURE_DECIMAL_FLOATING_POINT)*/
802 
803 
804 #if !defined(FEATURE_DFP_ZONED_CONVERSION_FACILITY)             /*912*/
805  UNDEF_INST(convert_zoned_to_dfp_long)                          /*912*/
806  UNDEF_INST(convert_zoned_to_dfp_ext)                           /*912*/
807  UNDEF_INST(convert_dfp_long_to_zoned)                          /*912*/
808  UNDEF_INST(convert_dfp_ext_to_zoned)                           /*912*/
809 #endif /*!defined(FEATURE_DFP_ZONED_CONVERSION_FACILITY)*/      /*912*/
810 
811 
812 #if !defined(FEATURE_FLOATING_POINT_EXTENSION_FACILITY)         /*810*/
813  UNDEF_INST(convert_bfp_short_to_u32_reg)                       /*810*/
814  UNDEF_INST(convert_bfp_long_to_u32_reg)                        /*810*/
815  UNDEF_INST(convert_bfp_ext_to_u32_reg)                         /*810*/
816  UNDEF_INST(convert_bfp_short_to_u64_reg)                       /*810*/
817  UNDEF_INST(convert_bfp_long_to_u64_reg)                        /*810*/
818  UNDEF_INST(convert_bfp_ext_to_u64_reg)                         /*810*/
819  UNDEF_INST(convert_u32_to_bfp_short_reg)                       /*810*/
820  UNDEF_INST(convert_u32_to_bfp_long_reg)                        /*810*/
821  UNDEF_INST(convert_u32_to_bfp_ext_reg)                         /*810*/
822  UNDEF_INST(convert_u64_to_bfp_short_reg)                       /*810*/
823  UNDEF_INST(convert_u64_to_bfp_long_reg)                        /*810*/
824  UNDEF_INST(convert_u64_to_bfp_ext_reg)                         /*810*/
825  UNDEF_INST(convert_dfp_long_to_fix32_reg)                      /*810*/
826  UNDEF_INST(convert_dfp_long_to_u32_reg)                        /*810*/
827  UNDEF_INST(convert_dfp_long_to_u64_reg)                        /*810*/
828  UNDEF_INST(convert_dfp_ext_to_fix32_reg)                       /*810*/
829  UNDEF_INST(convert_dfp_ext_to_u32_reg)                         /*810*/
830  UNDEF_INST(convert_dfp_ext_to_u64_reg)                         /*810*/
831  UNDEF_INST(convert_fix32_to_dfp_long_reg)                      /*810*/
832  UNDEF_INST(convert_fix32_to_dfp_ext_reg)                       /*810*/
833  UNDEF_INST(convert_u32_to_dfp_long_reg)                        /*810*/
834  UNDEF_INST(convert_u32_to_dfp_ext_reg)                         /*810*/
835  UNDEF_INST(convert_u64_to_dfp_long_reg)                        /*810*/
836  UNDEF_INST(convert_u64_to_dfp_ext_reg)                         /*810*/
837  UNDEF_INST(set_bfp_rounding_mode_3bit)                         /*810*/
838 #endif /*!defined(FEATURE_FLOATING_POINT_EXTENSION_FACILITY)*/  /*810*/
839 
840 
841 #if !defined(FEATURE_PFPO)
842  UNDEF_INST(perform_floating_point_operation)
843 #endif /*!defined(FEATURE_PFPO)*/
844 
845 
846 #if !defined(FEATURE_EMULATE_VM)
847  UNDEF_INST(inter_user_communication_vehicle)
848 #endif /*!defined(FEATURE_EMULATE_VM)*/
849 
850 
851 #if !defined(FEATURE_RESUME_PROGRAM)
852  UNDEF_INST(resume_program)
853 #endif /*!defined(FEATURE_RESUME_PROGRAM)*/
854 
855 
856 #if !defined(FEATURE_COMPRESSION)
857  UNDEF_INST(compression_call)
858 #endif /*!defined(FEATURE_COMPRESSION)*/
859 
860 
861 #if !defined(FEATURE_LOCK_PAGE)
862  UNDEF_INST(lock_page)
863 #endif /*!defined(FEATURE_LOCK_PAGE)*/
864 
865 
866 #if !defined(FEATURE_SQUARE_ROOT)
867  UNDEF_INST(squareroot_float_long_reg)
868  UNDEF_INST(squareroot_float_short_reg)
869 #endif /*!defined(FEATURE_SQUARE_ROOT)*/
870 
871 
872 #if !defined(FEATURE_INTERPRETIVE_EXECUTION)
873  UNDEF_INST(start_interpretive_execution)
874 #endif /*!defined(FEATURE_INTERPRETIVE_EXECUTION)*/
875 
876 
877 #if !defined(FEATURE_REGION_RELOCATE)
878  UNDEF_INST(store_zone_parameter)
879  UNDEF_INST(set_zone_parameter)
880 #endif /*!defined(FEATURE_REGION_RELOCATE)*/
881 
882 
883 #if !defined(FEATURE_IO_ASSIST)
884  UNDEF_INST(test_pending_zone_interrupt)
885 #endif /*!defined(FEATURE_IO_ASSIST)*/
886 
887 
888 #if !defined(FEATURE_QUEUED_DIRECT_IO)
889  UNDEF_INST(signal_adapter)
890 #endif /*!defined(FEATURE_QUEUED_DIRECT_IO)*/
891 
892 
893 #if !defined(FEATURE_CHANNEL_SWITCHING)
894  UNDEF_INST(connect_channel_set)
895  UNDEF_INST(disconnect_channel_set)
896 #endif /*!defined(FEATURE_CHANNEL_SWITCHING)*/
897 
898 
899 #if !defined(FEATURE_PROCESSOR_ASSIST_FACILITY)                 /*912*/
900  UNDEF_INST(perform_processor_assist)                           /*912*/
901 #endif /*!defined(FEATURE_PROCESSOR_ASSIST_FACILITY)*/          /*912*/
902 
903 
904 #if !defined(FEATURE_TRANSACTIONAL_EXECUTION_FACILITY)          /*912*/
905  UNDEF_INST(extract_transaction_nesting_depth)                  /*912*/
906  UNDEF_INST(nontransactional_store_long)                        /*912*/
907  UNDEF_INST(transaction_abort)                                  /*912*/
908  UNDEF_INST(transaction_begin)                                  /*912*/
909  UNDEF_INST(transaction_begin_constrained)                      /*912*/
910  UNDEF_INST(transaction_end)                                    /*912*/
911 #endif /*!defined(FEATURE_TRANSACTIONAL_EXECUTION_FACILITY)*/   /*912*/
912 
913 
914 #if !defined(FEATURE_LOAD_PROGRAM_PARAMETER_FACILITY)
915  UNDEF_INST(load_program_parameter)
916 #endif /*!defined(FEATURE_LOAD_PROGRAM_PARAMETER_FACILITY)*/
917 
918 
919 #if !defined(FEATURE_CPU_MEASUREMENT_COUNTER_FACILITY)
920  UNDEF_INST(extract_coprocessor_group_address)
921  UNDEF_INST(extract_cpu_counter)
922  UNDEF_INST(extract_peripheral_counter)
923  UNDEF_INST(load_cpu_counter_set_controls)
924  UNDEF_INST(load_peripheral_counter_set_controls)
925  UNDEF_INST(query_counter_information)
926  UNDEF_INST(set_cpu_counter)
927  UNDEF_INST(set_peripheral_counter)
928 #endif /*!defined(FEATURE_CPU_MEASUREMENT_COUNTER_FACILITY)*/
929 
930 
931 #if !defined(FEATURE_CPU_MEASUREMENT_SAMPLING_FACILITY)
932  UNDEF_INST(load_sampling_controls)
933  UNDEF_INST(query_sampling_information)
934 #endif /*!defined(FEATURE_CPU_MEASUREMENT_SAMPLING_FACILITY)*/
935 
936 
937 #if !defined(FEATURE_EXTENDED_TRANSLATION)
938  UNDEF_INST(translate_extended)
939  UNDEF_INST(convert_utf16_to_utf8)
940  UNDEF_INST(convert_utf8_to_utf16)
941 #endif /*!defined(FEATURE_EXTENDED_TRANSLATION)*/
942 
943 
944 #if !defined(FEATURE_EXTENDED_TRANSLATION_FACILITY_2)
945  UNDEF_INST(compare_logical_long_unicode)
946  UNDEF_INST(move_long_unicode)
947  UNDEF_INST(pack_ascii)
948  UNDEF_INST(pack_unicode)
949  UNDEF_INST(test_decimal)
950  UNDEF_INST(translate_one_to_one)
951  UNDEF_INST(translate_one_to_two)
952  UNDEF_INST(translate_two_to_one)
953  UNDEF_INST(translate_two_to_two)
954  UNDEF_INST(unpack_ascii)
955  UNDEF_INST(unpack_unicode)
956 #endif /*!defined(FEATURE_EXTENDED_TRANSLATION_FACILITY_2)*/
957 
958 
959 #if !defined(FEATURE_EXTENDED_TRANSLATION_FACILITY_3)
960  UNDEF_INST(convert_utf16_to_utf32)
961  UNDEF_INST(convert_utf32_to_utf16)
962  UNDEF_INST(convert_utf32_to_utf8)
963  UNDEF_INST(convert_utf8_to_utf32)
964  UNDEF_INST(search_string_unicode)
965  UNDEF_INST(translate_and_test_reverse)
966 #endif /*!defined(FEATURE_EXTENDED_TRANSLATION_FACILITY_3)*/
967 
968 
969 #if !defined(FEATURE_LOAD_REVERSED) && !defined(FEATURE_ESAME_N3_ESA390)
970  UNDEF_INST(load_reversed_register)
971  UNDEF_INST(load_reversed)
972  UNDEF_INST(load_reversed_half)
973  UNDEF_INST(store_reversed)
974  UNDEF_INST(store_reversed_half)
975  #if !defined(FEATURE_ESAME)
976  UNDEF_INST(load_reversed_long_register)
977  UNDEF_INST(store_reversed_long)
978  #endif /*!defined(FEATURE_ESAME)*/
979 #endif /*!defined(FEATURE_LOAD_REVERSED) && !defined(FEATURE_ESAME_N3_ESA390)*/
980 
981 
982 #if !defined(FEATURE_SERVICE_PROCESSOR)
983  UNDEF_INST(service_call)
984 #endif /*!defined(FEATURE_SERVICE_PROCESSOR)*/
985 
986 
987 #if !defined(FEATURE_CHSC)
988  UNDEF_INST(channel_subsystem_call)
989 #endif /*!defined(FEATURE_CHSC)*/
990 
991 
992 #if !defined(FEATURE_ESAME_N3_ESA390) && !defined(FEATURE_ESAME)
993  UNDEF_INST(add_logical_carry)
994  UNDEF_INST(add_logical_carry_register)
995  UNDEF_INST(branch_relative_and_save_long)
996  UNDEF_INST(branch_relative_on_condition_long)
997  UNDEF_INST(divide_logical)
998  UNDEF_INST(divide_logical_register)
999  UNDEF_INST(extract_psw)
1000  UNDEF_INST(load_address_relative_long)
1001  UNDEF_INST(multiply_logical)
1002  UNDEF_INST(multiply_logical_register)
1003  UNDEF_INST(rotate_left_single_logical)
1004  UNDEF_INST(set_addressing_mode_24)
1005  UNDEF_INST(set_addressing_mode_31)
1006  UNDEF_INST(subtract_logical_borrow)
1007  UNDEF_INST(subtract_logical_borrow_register)
1008  UNDEF_INST(test_addressing_mode)
1009 #endif /*!defined(FEATURE_ESAME_N3_ESA390) && !defined(FEATURE_ESAME)*/
1010 
1011 
1012 #if !defined(FEATURE_STORE_FACILITY_LIST)
1013  UNDEF_INST(store_facility_list)
1014 #endif /*!defined(FEATURE_STORE_FACILITY_LIST) */
1015 
1016 
1017 #if !defined(FEATURE_CANCEL_IO_FACILITY)
1018  UNDEF_INST(cancel_subchannel)
1019 #endif /*!defined(FEATURE_CANCEL_IO_FACILITY)*/
1020 
1021 
1022 #if !defined(FEATURE_ECPSVM)
1023  UNDEF_INST(ecpsvm_basic_freex)
1024  UNDEF_INST(ecpsvm_basic_fretx)
1025  UNDEF_INST(ecpsvm_lock_page)
1026  UNDEF_INST(ecpsvm_unlock_page)
1027  UNDEF_INST(ecpsvm_decode_next_ccw)
1028  UNDEF_INST(ecpsvm_free_ccwstor)
1029  UNDEF_INST(ecpsvm_locate_vblock)
1030  UNDEF_INST(ecpsvm_disp1)
1031  UNDEF_INST(ecpsvm_tpage)
1032  UNDEF_INST(ecpsvm_tpage_lock)
1033  UNDEF_INST(ecpsvm_inval_segtab)
1034  UNDEF_INST(ecpsvm_inval_ptable)
1035  UNDEF_INST(ecpsvm_decode_first_ccw)
1036  UNDEF_INST(ecpsvm_dispatch_main)
1037  UNDEF_INST(ecpsvm_locate_rblock)
1038  UNDEF_INST(ecpsvm_comm_ccwproc)
1039  UNDEF_INST(ecpsvm_unxlate_ccw)
1040  UNDEF_INST(ecpsvm_disp2)
1041  UNDEF_INST(ecpsvm_store_level)
1042  UNDEF_INST(ecpsvm_loc_chgshrpg)
1043  UNDEF_INST(ecpsvm_extended_freex)
1044  UNDEF_INST(ecpsvm_extended_fretx)
1045  UNDEF_INST(ecpsvm_prefmach_assist)
1046 #endif /*!defined(FEATURE_ECPSVM)*/
1047 
1048 #if !defined(FEATURE_LONG_DISPLACEMENT)
1049  UNDEF_INST(add_y)
1050  UNDEF_INST(add_halfword_y)
1051  UNDEF_INST(add_logical_y)
1052  UNDEF_INST(and_immediate_y)
1053  UNDEF_INST(and_y)
1054  UNDEF_INST(compare_y)
1055  UNDEF_INST(compare_and_swap_y)
1056  UNDEF_INST(compare_double_and_swap_y)
1057  UNDEF_INST(compare_halfword_y)
1058  UNDEF_INST(compare_logical_y)
1059  UNDEF_INST(compare_logical_immediate_y)
1060  UNDEF_INST(compare_logical_characters_under_mask_y)
1061  UNDEF_INST(convert_to_binary_y)
1062  UNDEF_INST(convert_to_decimal_y)
1063  UNDEF_INST(exclusive_or_immediate_y)
1064  UNDEF_INST(exclusive_or_y)
1065  UNDEF_INST(insert_character_y)
1066  UNDEF_INST(insert_characters_under_mask_y)
1067  UNDEF_INST(load_y)
1068  UNDEF_INST(load_address_y)
1069  UNDEF_INST(load_byte)
1070  UNDEF_INST(load_byte_long)
1071  UNDEF_INST(load_halfword_y)
1072  UNDEF_INST(load_multiple_y)
1073  UNDEF_INST(load_real_address_y)
1074  UNDEF_INST(move_immediate_y)
1075  UNDEF_INST(multiply_single_y)
1076  UNDEF_INST(or_immediate_y)
1077  UNDEF_INST(or_y)
1078  UNDEF_INST(store_y)
1079  UNDEF_INST(store_character_y)
1080  UNDEF_INST(store_characters_under_mask_y)
1081  UNDEF_INST(store_halfword_y)
1082  UNDEF_INST(store_multiple_y)
1083  UNDEF_INST(subtract_y)
1084  UNDEF_INST(subtract_halfword_y)
1085  UNDEF_INST(subtract_logical_y)
1086  UNDEF_INST(test_under_mask_y)
1087 #endif /*!defined(FEATURE_LONG_DISPLACEMENT)*/
1088 
1089 
1090 #if !defined(FEATURE_LONG_DISPLACEMENT) \
1091  || !defined(FEATURE_ACCESS_REGISTERS)
1092  UNDEF_INST(load_access_multiple_y)
1093  UNDEF_INST(store_access_multiple_y)
1094 #endif /*!defined(FEATURE_LONG_DISPLACEMENT)
1095  || !defined(FEATURE_ACCESS_REGISTERS)*/
1096 
1097 
1098 #if !defined(FEATURE_LONG_DISPLACEMENT) \
1099  || !defined(FEATURE_HEXADECIMAL_FLOATING_POINT)
1100  UNDEF_INST(load_float_long_y)
1101  UNDEF_INST(load_float_short_y)
1102  UNDEF_INST(store_float_long_y)
1103  UNDEF_INST(store_float_short_y)
1104 #endif /*!defined(FEATURE_LONG_DISPLACEMENT)
1105  || !defined(FEATURE_HEXADECIMAL_FLOATING_POINT)*/
1106 
1107 
1108 #if !defined(FEATURE_MESSAGE_SECURITY_ASSIST)
1109  UNDEF_INST(cipher_message_r)
1110  UNDEF_INST(cipher_message_with_chaining_r)
1111  UNDEF_INST(compute_intermediate_message_digest_r)
1112  UNDEF_INST(compute_last_message_digest_r)
1113  UNDEF_INST(compute_message_authentication_code_r)
1114 #endif /*!defined(FEATURE_MESSAGE_SECURITY_ASSIST)*/
1115 
1116 
1117 #if !defined(FEATURE_MESSAGE_SECURITY_ASSIST_EXTENSION_3)       /*810*/
1118  UNDEF_INST(perform_cryptographic_key_management_operation_r)   /*810*/
1119 #endif /*!defined(FEATURE_MESSAGE_SECURITY_ASSIST_EXTENSION_3)*/
1120 
1121 
1122 #if !defined(FEATURE_MESSAGE_SECURITY_ASSIST_EXTENSION_4)       /*810*/
1123  UNDEF_INST(perform_cryptographic_computation_r)                /*810*/
1124  UNDEF_INST(cipher_message_with_cipher_feedback_r)              /*810*/
1125  UNDEF_INST(cipher_message_with_output_feedback_r)              /*810*/
1126  UNDEF_INST(cipher_message_with_counter_r)                      /*810*/
1127 #endif /*!defined(FEATURE_MESSAGE_SECURITY_ASSIST_EXTENSION_4)*/
1128 
1129 
1130 #if !defined(FEATURE_DAT_ENHANCEMENT)
1131  UNDEF_INST(compare_and_swap_and_purge_long)
1132  UNDEF_INST(invalidate_dat_table_entry)
1133 #endif /*!defined(FEATURE_DAT_ENHANCEMENT)*/
1134 
1135 
1136 #if !defined(FEATURE_EXTENDED_IMMEDIATE)                        /*@Z9*/
1137  UNDEF_INST(add_fullword_immediate)                             /*@Z9*/
1138  UNDEF_INST(add_long_fullword_immediate)                        /*@Z9*/
1139  UNDEF_INST(add_logical_fullword_immediate)                     /*@Z9*/
1140  UNDEF_INST(add_logical_long_fullword_immediate)                /*@Z9*/
1141  UNDEF_INST(and_immediate_high_fullword)                        /*@Z9*/
1142  UNDEF_INST(and_immediate_low_fullword)                         /*@Z9*/
1143  UNDEF_INST(compare_fullword_immediate)                         /*@Z9*/
1144  UNDEF_INST(compare_long_fullword_immediate)                    /*@Z9*/
1145  UNDEF_INST(compare_logical_fullword_immediate)                 /*@Z9*/
1146  UNDEF_INST(compare_logical_long_fullword_immediate)            /*@Z9*/
1147  UNDEF_INST(exclusive_or_immediate_high_fullword)               /*@Z9*/
1148  UNDEF_INST(exclusive_or_immediate_low_fullword)                /*@Z9*/
1149  UNDEF_INST(insert_immediate_high_fullword)                     /*@Z9*/
1150  UNDEF_INST(insert_immediate_low_fullword)                      /*@Z9*/
1151  UNDEF_INST(load_long_fullword_immediate)                       /*@Z9*/
1152  UNDEF_INST(load_logical_immediate_high_fullword)               /*@Z9*/
1153  UNDEF_INST(load_logical_immediate_low_fullword)                /*@Z9*/
1154  UNDEF_INST(or_immediate_high_fullword)                         /*@Z9*/
1155  UNDEF_INST(or_immediate_low_fullword)                          /*@Z9*/
1156  UNDEF_INST(subtract_logical_fullword_immediate)                /*@Z9*/
1157  UNDEF_INST(subtract_logical_long_fullword_immediate)           /*@Z9*/
1158 #endif /*!defined(FEATURE_EXTENDED_IMMEDIATE)*/                 /*@Z9*/
1159 
1160 
1161 #if !defined(FEATURE_EXTENDED_IMMEDIATE)                        /*@Z9*/
1162  UNDEF_INST(load_and_test)                                      /*@Z9*/
1163  UNDEF_INST(load_and_test_long)                                 /*@Z9*/
1164  UNDEF_INST(load_byte_register)                                 /*@Z9*/
1165  UNDEF_INST(load_long_byte_register)                            /*@Z9*/
1166  UNDEF_INST(load_halfword_register)                             /*@Z9*/
1167  UNDEF_INST(load_long_halfword_register)                        /*@Z9*/
1168  UNDEF_INST(load_logical_character)                             /*@Z9*/
1169  UNDEF_INST(load_logical_character_register)                    /*@Z9*/
1170  UNDEF_INST(load_logical_long_character_register)               /*@Z9*/
1171  UNDEF_INST(load_logical_halfword)                              /*@Z9*/
1172  UNDEF_INST(load_logical_halfword_register)                     /*@Z9*/
1173  UNDEF_INST(load_logical_long_halfword_register)                /*@Z9*/
1174  UNDEF_INST(find_leftmost_one_long_register)                    /*@Z9*/
1175 #endif /*!defined(FEATURE_EXTENDED_IMMEDIATE)*/                 /*@Z9*/
1176 
1177 
1178 #if !defined(FEATURE_DAT_ENHANCEMENT_FACILITY_2)                /*@Z9*/
1179  UNDEF_INST(load_page_table_entry_address)                      /*@Z9*/
1180 #endif /*!defined(FEATURE_DAT_ENHANCEMENT_FACILITY_2)*/         /*@Z9*/
1181 
1182 
1183 #if !defined(FEATURE_STORE_CLOCK_FAST)
1184  UNDEF_INST(store_clock_fast)
1185 #else /*!defined(FEATURE_STORE_CLOCK_FAST)*/
1186  #define z900_store_clock_fast z900_store_clock
1187 #endif /*!defined(FEATURE_STORE_CLOCK_FAST)*/
1188 
1189 #if !defined(FEATURE_STORE_FACILITY_LIST_EXTENDED)              /*@Z9*/
1190  UNDEF_INST(store_facility_list_extended)                       /*@Z9*/
1191 #endif /*!defined(FEATURE_STORE_FACILITY_LIST_EXTENDED)*/       /*@Z9*/
1192 
1193 
1194 /* The following execute_xxxx routines can be optimized by the
1195    compiler to an indexed jump, leaving the stack frame untouched
1196    as the called routine has the same arguments, and the routine
1197    exits immediately after the call.                             *JJ */
1198 
1199 #if ARCH_MODE != ARCH_370
1200 DEF_INST(execute_01xx)
1201 {
1202     regs->ARCH_DEP(opcode_01xx)[inst[1]](inst, regs);
1203 }
1204 #endif
1205 
1206 
DEF_INST(execute_a7xx)1207 DEF_INST(execute_a7xx)
1208 {
1209     regs->ARCH_DEP(opcode_a7xx)[inst[1]](inst, regs);
1210 }
1211 
1212 
DEF_INST(execute_b2xx)1213 DEF_INST(execute_b2xx)
1214 {
1215     regs->ARCH_DEP(opcode_b2xx)[inst[1]](inst, regs);
1216 }
1217 
1218 
DEF_INST(execute_b9xx)1219 DEF_INST(execute_b9xx)
1220 {
1221     regs->ARCH_DEP(opcode_b9xx)[inst[1]](inst, regs);
1222 }
1223 
1224 
DEF_INST(execute_ebxx)1225 DEF_INST(execute_ebxx)
1226 {
1227     regs->ARCH_DEP(opcode_ebxx)[inst[5]](inst, regs);
1228 }
1229 
1230 
1231 #if defined(FEATURE_BASIC_FP_EXTENSIONS)
DEF_INST(execute_b3xx)1232 DEF_INST(execute_b3xx)
1233 {
1234     regs->ARCH_DEP(opcode_b3xx)[inst[1]](inst, regs);
1235 }
1236 
DEF_INST(execute_edxx)1237 DEF_INST(execute_edxx)
1238 {
1239     regs->ARCH_DEP(opcode_edxx)[inst[5]](inst, regs);
1240 }
1241 #endif /*defined(FEATURE_BASIC_FP_EXTENSIONS)*/
1242 
1243 
DEF_INST(execute_e5xx)1244 DEF_INST(execute_e5xx)
1245 {
1246     regs->ARCH_DEP(opcode_e5xx)[inst[1]](inst, regs);
1247 }
1248 
1249 #if ARCH_MODE == ARCH_370
DEF_INST(execute_e6xx)1250 DEF_INST(execute_e6xx)
1251 {
1252     regs->ARCH_DEP(opcode_e6xx)[inst[1]](inst, regs);
1253 }
1254 #endif
1255 
1256 #if defined(FEATURE_ESAME) || defined(FEATURE_ESAME_N3_ESA390)
DEF_INST(execute_a5xx)1257 DEF_INST(execute_a5xx)
1258 {
1259     regs->ARCH_DEP(opcode_a5xx)[inst[1]](inst, regs);
1260 }
1261 
1262 
DEF_INST(execute_e3xx)1263 DEF_INST(execute_e3xx)
1264 {
1265     regs->ARCH_DEP(opcode_e3xx)[inst[5]](inst, regs);
1266 }
1267 
1268 
DEF_INST(execute_ecxx)1269 DEF_INST(execute_ecxx)
1270 {
1271     regs->ARCH_DEP(opcode_ecxx[inst[5]])(inst, regs);
1272 }
1273 
1274 
DEF_INST(execute_c0xx)1275 DEF_INST(execute_c0xx)
1276 {
1277     regs->ARCH_DEP(opcode_c0xx)[inst[1]](inst, regs);
1278 }
1279 
1280 
DEF_INST(execute_c2xx)1281 DEF_INST(execute_c2xx)                                          /*@Z9*/
1282 {                                                               /*@Z9*/
1283     regs->ARCH_DEP(opcode_c2xx)[inst[1]](inst, regs);           /*@Z9*/
1284 }                                                               /*@Z9*/
1285 #endif /*defined(FEATURE_ESAME) || defined(FEATURE_ESAME_N3_ESA390)*/
1286 
1287 
DEF_INST(execute_c4xx)1288 DEF_INST(execute_c4xx)                                          /*208*/
1289 {                                                               /*208*/
1290     regs->ARCH_DEP(opcode_c4xx)[inst[1]](inst, regs);           /*208*/
1291 }                                                               /*208*/
1292 
1293 
DEF_INST(execute_c6xx)1294 DEF_INST(execute_c6xx)                                          /*208*/
1295 {                                                               /*208*/
1296     regs->ARCH_DEP(opcode_c6xx)[inst[1]](inst, regs);           /*208*/
1297 }                                                               /*208*/
1298 
1299 
1300 #if defined(FEATURE_ESAME)
DEF_INST(execute_c8xx)1301 DEF_INST(execute_c8xx)
1302 {
1303     regs->ARCH_DEP(opcode_c8xx)[inst[1]](inst, regs);
1304 }
1305 #endif /*defined(FEATURE_ESAME)*/
1306 
1307 #if defined(FEATURE_ESAME)                                      /*810*/
DEF_INST(execute_ccxx)1308 DEF_INST(execute_ccxx)                                          /*810*/
1309 {                                                               /*810*/
1310     regs->ARCH_DEP(opcode_ccxx)[inst[1]](inst, regs);           /*810*/
1311 }                                                               /*810*/
1312 #endif /*defined(FEATURE_ESAME)*/                               /*810*/
1313 
1314 #if defined(FEATURE_VECTOR_FACILITY)
1315 
DEF_INST(execute_a4xx)1316 DEF_INST(execute_a4xx)
1317 {
1318     regs->ARCH_DEP(opcode_a4xx)[inst[1]](inst, regs);
1319 }
1320 
1321 
DEF_INST(execute_a5xx)1322 DEF_INST(execute_a5xx)
1323 {
1324     regs->ARCH_DEP(opcode_a5xx)[inst[1]](inst, regs);
1325 }
1326 
1327 
DEF_INST(execute_a6xx)1328 DEF_INST(execute_a6xx)
1329 {
1330     regs->ARCH_DEP(opcode_a6xx)[inst[1]](inst, regs);
1331 }
1332 
1333 
DEF_INST(execute_e4xx)1334 DEF_INST(execute_e4xx)
1335 {
1336     regs->ARCH_DEP(opcode_e4xx)[inst[1]](inst, regs);
1337 }
1338 
1339 #endif /*defined(FEATURE_VECTOR_FACILITY)*/
1340 
1341 
DEF_INST(operation_exception)1342 DEF_INST(operation_exception)
1343 {
1344     INST_UPDATE_PSW (regs, ILC(inst[0]), ILC(inst[0]));
1345 
1346 #if defined(MODEL_DEPENDENT)
1347 #if defined(_FEATURE_SIE)
1348     /* The B2XX extended opcodes which are not defined are always
1349        intercepted by SIE when issued in supervisor state */
1350     if(!PROBSTATE(&regs->psw) && inst[0] == 0xB2)
1351         SIE_INTERCEPT(regs);
1352 #endif /*defined(_FEATURE_SIE)*/
1353 #endif /*defined(MODEL_DEPENDENT)*/
1354 
1355     ARCH_DEP(program_interrupt)(regs, PGM_OPERATION_EXCEPTION);
1356 }
1357 
1358 
DEF_INST(dummy_instruction)1359 DEF_INST(dummy_instruction)
1360 {
1361 //  logmsg(_("Dummy instruction: ")); ARCH_DEP(display_inst) (regs, inst);
1362     INST_UPDATE_PSW (regs, ILC(inst[0]), ILC(inst[0]));
1363 }
1364 
1365 
1366 #if !defined(_GEN_ARCH)
1367 
1368 #define DISASM_ROUTE(_table,_route) \
1369 int disasm_ ## _table (BYTE inst[], char unused[], char *p) \
1370 { \
1371 func disasm_fn; \
1372 char* mnemonic; \
1373     UNREFERENCED(unused); \
1374     mnemonic = (void*)opcode_ ## _table [inst _route ][GEN_MAXARCH-1]; \
1375     disasm_fn = (void*)opcode_ ## _table [inst _route ][GEN_MAXARCH-2]; \
1376     return disasm_fn(inst, mnemonic, p); \
1377 }
1378 
1379 
1380 DISASM_ROUTE(table,[0])
1381 DISASM_ROUTE(01xx,[1])
1382 DISASM_ROUTE(a5xx,[1] & 0x0F)
1383 DISASM_ROUTE(a7xx,[1] & 0x0F)
1384 DISASM_ROUTE(b2xx,[1])
1385 DISASM_ROUTE(b3xx,[1])
1386 DISASM_ROUTE(b9xx,[1])
1387 DISASM_ROUTE(c0xx,[1] & 0x0F)
1388 DISASM_ROUTE(c2xx,[1] & 0x0F)                                   /*@Z9*/
1389 DISASM_ROUTE(c4xx,[1] & 0x0F)                                   /*208*/
1390 DISASM_ROUTE(c6xx,[1] & 0x0F)                                   /*208*/
1391 DISASM_ROUTE(c8xx,[1] & 0x0F)
1392 DISASM_ROUTE(ccxx,[1] & 0x0F)                                   /*810*/
1393 DISASM_ROUTE(e3xx,[5])
1394 DISASM_ROUTE(e5xx,[1])
1395 DISASM_ROUTE(e6xx,[1])
1396 DISASM_ROUTE(ebxx,[5])
1397 DISASM_ROUTE(ecxx,[5])
1398 DISASM_ROUTE(edxx,[5])
1399 
1400 #if defined(FEATURE_VECTOR_FACILITY)
1401  #define opcode_a4xx v_opcode_a4xx
1402  DISASM_ROUTE(a4xx,[1])
1403  #undef opcode_a4xx
1404  #define opcode_a6xx v_opcode_a6xx
1405  DISASM_ROUTE(a6xx,[1])
1406  #undef opcode_a6xx
1407  #define opcode_e4xx v_opcode_e4xx
1408  DISASM_ROUTE(e4xx,[1])
1409  #undef opcode_e4xx
1410 #else /*defined(FEATURE_VECTOR_FACILITY)*/
1411  #define disasm_a4xx disasm_none
1412  #define disasm_a6xx disasm_none
1413  #define disasm_e4xx disasm_none
1414 #endif /*defined(FEATURE_VECTOR_FACILITY)*/
1415 
1416 #define DISASM_COMMON_VARS \
1417 char* name; \
1418 char operands[64]
1419 
1420 #define DISASM_SET_NAME \
1421     name = mnemonic+1; while(*name++)
1422 
1423 #define DISASM_PRINT_OPERANDS \
1424     snprintf(operands,sizeof(operands)-1,
1425 
1426 #define DISASM_LOGMSG \
1427     operands[sizeof(operands)-1]=0; \
1428     return sprintf(p, "%-5s %-19s    %s",mnemonic,operands,name)
1429 
1430 // instructions using alternate mnemonic suffixed by 'A'                /*810*/
1431 #define DISASM_LOGMSG_ALTCODE \
1432     operands[sizeof(operands)-1]=0; \
1433     return sprintf(p, "%sA %-*s    %s",mnemonic, \
1434         (int)(23-strlen(mnemonic)),operands,name)
1435 
disasm_none(BYTE inst[],char mnemonic[],char * p)1436 int disasm_none (BYTE inst[], char mnemonic[], char *p)
1437 {
1438 DISASM_COMMON_VARS;
1439     UNREFERENCED(inst);
1440     DISASM_SET_NAME;
1441     DISASM_PRINT_OPERANDS
1442         "%c",',');
1443     DISASM_LOGMSG;
1444 }
1445 
disasm_E(BYTE inst[],char mnemonic[],char * p)1446 int disasm_E (BYTE inst[], char mnemonic[], char *p)
1447 {
1448 DISASM_COMMON_VARS;
1449     UNREFERENCED(inst);
1450     DISASM_SET_NAME;
1451     DISASM_PRINT_OPERANDS
1452         "%c",',');
1453     DISASM_LOGMSG;
1454 }
1455 
disasm_IE(BYTE inst[],char mnemonic[],char * p)1456 int disasm_IE (BYTE inst[], char mnemonic[], char *p)                   /*912*/
1457 {
1458 DISASM_COMMON_VARS;
1459 int i1, i2;
1460     i1 = inst[3] >> 4;
1461     i2 = inst[3] & 0x0F;
1462     DISASM_SET_NAME;
1463     DISASM_PRINT_OPERANDS
1464         "%d,%d",i1,i2);
1465     DISASM_LOGMSG;
1466 }
1467 
disasm_MII_A(BYTE inst[],char mnemonic[],char * p)1468 int disasm_MII_A (BYTE inst[], char mnemonic[], char *p)                /*912*/
1469 {
1470 DISASM_COMMON_VARS;
1471 int m1,i2,i3;
1472     const S64 Two_S64=2;
1473     m1 = inst[1] >> 4;
1474     i2 = (S32)(((U32)inst[1] << 8) | (U32)inst[2]);
1475     i3 = (S32)(((U32)inst[3] << 16) | ((U32)inst[4] << 8)
1476                | (U32)inst[5]);
1477     DISASM_SET_NAME;
1478     DISASM_PRINT_OPERANDS
1479         "%d,*%+"I64_FMT"d,*%+"I64_FMT"d",m1,i2*Two_S64,i3*Two_S64);
1480     DISASM_LOGMSG;
1481 }
1482 
disasm_RR(BYTE inst[],char mnemonic[],char * p)1483 int disasm_RR (BYTE inst[], char mnemonic[], char *p)
1484 {
1485 DISASM_COMMON_VARS;
1486 int r1, r2;
1487     r1 = inst[1] >> 4;
1488     r2 = inst[1] & 0x0F;
1489     DISASM_SET_NAME;
1490     DISASM_PRINT_OPERANDS
1491         "%d,%d",r1,r2);
1492     DISASM_LOGMSG;
1493 }
1494 
1495 // "Mnemonic   R1"
disasm_RR_R1(BYTE inst[],char mnemonic[],char * p)1496 int disasm_RR_R1 (BYTE inst[], char mnemonic[], char *p)
1497 {
1498 DISASM_COMMON_VARS;
1499 int r1;
1500     r1 = inst[1] >> 4;
1501     DISASM_SET_NAME;
1502     DISASM_PRINT_OPERANDS
1503         "%d",r1);
1504     DISASM_LOGMSG;
1505 }
1506 
disasm_RR_SVC(BYTE inst[],char mnemonic[],char * p)1507 int disasm_RR_SVC (BYTE inst[], char mnemonic[], char *p)
1508 {
1509 DISASM_COMMON_VARS;
1510     DISASM_SET_NAME;
1511     DISASM_PRINT_OPERANDS
1512         "%d",inst[1]);
1513     DISASM_LOGMSG;
1514 }
1515 
disasm_RRE(BYTE inst[],char mnemonic[],char * p)1516 int disasm_RRE (BYTE inst[], char mnemonic[], char *p)
1517 {
1518 DISASM_COMMON_VARS;
1519 int r1, r2;
1520     r1 = inst[3] >> 4;
1521     r2 = inst[3] & 0x0F;
1522     DISASM_SET_NAME;
1523     DISASM_PRINT_OPERANDS
1524         "%d,%d",r1,r2);
1525     DISASM_LOGMSG;
1526 }
1527 
1528 // "Mnemonic   R1"
disasm_RRE_R1(BYTE inst[],char mnemonic[],char * p)1529 int disasm_RRE_R1 (BYTE inst[], char mnemonic[], char *p)
1530 {
1531 DISASM_COMMON_VARS;
1532 int r1;
1533     r1 = inst[3] >> 4;
1534     DISASM_SET_NAME;
1535     DISASM_PRINT_OPERANDS
1536         "%d",r1);
1537     DISASM_LOGMSG;
1538 }
1539 
1540 // RRE instructions with an alternate RRF_MM format                     /*810*/
disasm_RRE_MMA(BYTE inst[],char mnemonic[],char * p)1541 int disasm_RRE_MMA (BYTE inst[], char mnemonic[], char *p)              /*810*/
1542 {
1543 DISASM_COMMON_VARS;
1544 int m3,m4,r1,r2;
1545     m3 = inst[2] >> 4;
1546     m4 = inst[2] & 0x0F;
1547     r1 = inst[3] >> 4;
1548     r2 = inst[3] & 0x0F;
1549     DISASM_SET_NAME;
1550     if (m3 == 0 && m4 == 0) {
1551         DISASM_PRINT_OPERANDS
1552             "%d,%d",r1,r2);
1553         DISASM_LOGMSG;
1554     } else {
1555         DISASM_PRINT_OPERANDS
1556             "%d,%d,%d,%d",r1,m3,r2,m4);
1557         DISASM_LOGMSG_ALTCODE;
1558     }
1559 }
1560 
disasm_RRF_R(BYTE inst[],char mnemonic[],char * p)1561 int disasm_RRF_R (BYTE inst[], char mnemonic[], char *p)
1562 {
1563 DISASM_COMMON_VARS;
1564 int r1,r3,r2;
1565     r1 = inst[2] >> 4;
1566     r3 = inst[3] >> 4;
1567     r2 = inst[3] & 0x0F;
1568     DISASM_SET_NAME;
1569     DISASM_PRINT_OPERANDS
1570         "%d,%d,%d",r1,r3,r2);
1571     DISASM_LOGMSG;
1572 }
1573 
disasm_RRF_M(BYTE inst[],char mnemonic[],char * p)1574 int disasm_RRF_M (BYTE inst[], char mnemonic[], char *p)
1575 {
1576 DISASM_COMMON_VARS;
1577 int m3,r1,r2;
1578     m3 = inst[2] >> 4;
1579     r1 = inst[3] >> 4;
1580     r2 = inst[3] & 0x0F;
1581     DISASM_SET_NAME;
1582     DISASM_PRINT_OPERANDS
1583         "%d,%d,%d",r1,m3,r2);
1584     DISASM_LOGMSG;
1585 }
1586 
disasm_RRF_M3(BYTE inst[],char mnemonic[],char * p)1587 int disasm_RRF_M3 (BYTE inst[], char mnemonic[], char *p)
1588 {
1589 DISASM_COMMON_VARS;
1590 int m3,r1,r2;
1591     m3 = inst[2] >> 4;
1592     r1 = inst[3] >> 4;
1593     r2 = inst[3] & 0x0F;
1594     DISASM_SET_NAME;
1595     DISASM_PRINT_OPERANDS
1596         "%d,%d,%d",r1,r2,m3);
1597     DISASM_LOGMSG;
1598 }
1599 
disasm_RRF_M4(BYTE inst[],char mnemonic[],char * p)1600 int disasm_RRF_M4 (BYTE inst[], char mnemonic[], char *p)
1601 {
1602 DISASM_COMMON_VARS;
1603 int m4,r1,r2;
1604     m4 = inst[2] & 0x0F;
1605     r1 = inst[3] >> 4;
1606     r2 = inst[3] & 0x0F;
1607     DISASM_SET_NAME;
1608     DISASM_PRINT_OPERANDS
1609         "%d,%d,%d",r1,r2,m4);
1610     DISASM_LOGMSG;
1611 }
1612 
disasm_RRF_MM(BYTE inst[],char mnemonic[],char * p)1613 int disasm_RRF_MM (BYTE inst[], char mnemonic[], char *p)
1614 {
1615 DISASM_COMMON_VARS;
1616 int m3,m4,r1,r2;
1617     m3 = inst[2] >> 4;
1618     m4 = inst[2] & 0x0F;
1619     r1 = inst[3] >> 4;
1620     r2 = inst[3] & 0x0F;
1621     DISASM_SET_NAME;
1622     DISASM_PRINT_OPERANDS
1623         "%d,%d,%d,%d",r1,m3,r2,m4);
1624     DISASM_LOGMSG;
1625 }
1626 
disasm_RRF_RM(BYTE inst[],char mnemonic[],char * p)1627 int disasm_RRF_RM (BYTE inst[], char mnemonic[], char *p)
1628 {
1629 DISASM_COMMON_VARS;
1630 int r3,m4,r1,r2;
1631     r3 = inst[2] >> 4;
1632     m4 = inst[2] & 0x0F;
1633     r1 = inst[3] >> 4;
1634     r2 = inst[3] & 0x0F;
1635     DISASM_SET_NAME;
1636     DISASM_PRINT_OPERANDS
1637         "%d,%d,%d,%d",r1,r3,r2,m4);
1638     DISASM_LOGMSG;
1639 }
1640 
1641 // RRF_M instructions with an alternate RRF_MM format                   /*810*/
disasm_RRF_MMA(BYTE inst[],char mnemonic[],char * p)1642 int disasm_RRF_MMA (BYTE inst[], char mnemonic[], char *p)              /*810*/
1643 {
1644 DISASM_COMMON_VARS;
1645 int m3,m4,r1,r2;
1646     m3 = inst[2] >> 4;
1647     m4 = inst[2] & 0x0F;
1648     r1 = inst[3] >> 4;
1649     r2 = inst[3] & 0x0F;
1650     DISASM_SET_NAME;
1651     if (m4 == 0) {
1652         DISASM_PRINT_OPERANDS
1653             "%d,%d,%d",r1,m3,r2);
1654         DISASM_LOGMSG;
1655     } else {
1656         DISASM_PRINT_OPERANDS
1657             "%d,%d,%d,%d",r1,m3,r2,m4);
1658         DISASM_LOGMSG_ALTCODE;
1659     }
1660 }
1661 
disasm_RRR(BYTE inst[],char mnemonic[],char * p)1662 int disasm_RRR (BYTE inst[], char mnemonic[], char *p)
1663 {
1664 DISASM_COMMON_VARS;
1665 int r1,r2,r3;
1666     r3 = inst[2] >> 4;
1667     r1 = inst[3] >> 4;
1668     r2 = inst[3] & 0x0F;
1669     DISASM_SET_NAME;
1670     DISASM_PRINT_OPERANDS
1671         "%d,%d,%d",r1,r2,r3);
1672     DISASM_LOGMSG;
1673 }
1674 
disasm_RRR_M(BYTE inst[],char mnemonic[],char * p)1675 int disasm_RRR_M (BYTE inst[], char mnemonic[], char *p)                /*810*/
1676 {
1677 DISASM_COMMON_VARS;
1678 int r1,r2,r3,m4;
1679     r3 = inst[2] >> 4;
1680     m4 = inst[2] & 0x0F;
1681     r1 = inst[3] >> 4;
1682     r2 = inst[3] & 0x0F;
1683     DISASM_SET_NAME;
1684     DISASM_PRINT_OPERANDS
1685         "%d,%d,%d,%d",r1,r2,r3,m4);
1686     DISASM_LOGMSG;
1687 }
1688 
1689 // RRR instructions with an alternate RRR_M format                      /*810*/
disasm_RRR_MA(BYTE inst[],char mnemonic[],char * p)1690 int disasm_RRR_MA (BYTE inst[], char mnemonic[], char *p)               /*810*/
1691 {
1692 DISASM_COMMON_VARS;
1693 int r1,r2,r3,m4;
1694     r3 = inst[2] >> 4;
1695     m4 = inst[2] & 0x0F;
1696     r1 = inst[3] >> 4;
1697     r2 = inst[3] & 0x0F;
1698     DISASM_SET_NAME;
1699     if (m4 == 0) {
1700         DISASM_PRINT_OPERANDS
1701             "%d,%d,%d",r1,r2,r3);
1702         DISASM_LOGMSG;
1703     } else {
1704         DISASM_PRINT_OPERANDS
1705             "%d,%d,%d,%d",r1,r2,r3,m4);
1706         DISASM_LOGMSG_ALTCODE;
1707     }
1708 }
1709 
disasm_RX(BYTE inst[],char mnemonic[],char * p)1710 int disasm_RX (BYTE inst[], char mnemonic[], char *p)
1711 {
1712 DISASM_COMMON_VARS;
1713 int r1,x2,b2,d2;
1714     r1 = inst[1] >> 4;
1715     x2 = inst[1] & 0x0F;
1716     b2 = inst[2] >> 4;
1717     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1718     DISASM_SET_NAME;
1719     DISASM_PRINT_OPERANDS
1720         "%d,%d(%d,%d)",r1,d2,x2,b2);
1721     DISASM_LOGMSG;
1722 }
1723 
disasm_RXE(BYTE inst[],char mnemonic[],char * p)1724 int disasm_RXE (BYTE inst[], char mnemonic[], char *p)
1725 {
1726 DISASM_COMMON_VARS;
1727 int r1,x2,b2,d2;
1728     r1 = inst[1] >> 4;
1729     x2 = inst[1] & 0x0F;
1730     b2 = inst[2] >> 4;
1731     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1732     DISASM_SET_NAME;
1733     DISASM_PRINT_OPERANDS
1734         "%d,%d(%d,%d)",r1,d2,x2,b2);
1735     DISASM_LOGMSG;
1736 }
1737 
disasm_RXY(BYTE inst[],char mnemonic[],char * p)1738 int disasm_RXY (BYTE inst[], char mnemonic[], char *p)
1739 {
1740 DISASM_COMMON_VARS;
1741 int r1,x2,b2,d2;
1742     r1 = inst[1] >> 4;
1743     x2 = inst[1] & 0x0F;
1744     b2 = inst[2] >> 4;
1745     d2 = (((S8)inst[4]) << 12) | (inst[2] & 0x0F) << 8 | inst[3];
1746     DISASM_SET_NAME;
1747     DISASM_PRINT_OPERANDS
1748         "%d,%d(%d,%d)",r1,d2,x2,b2);
1749     DISASM_LOGMSG;
1750 }
1751 
disasm_RXF(BYTE inst[],char mnemonic[],char * p)1752 int disasm_RXF (BYTE inst[], char mnemonic[], char *p)
1753 {
1754 DISASM_COMMON_VARS;
1755 int r1,r3,x2,b2,d2;
1756     r1 = inst[4] >> 4;
1757     r3 = inst[1] >> 4;
1758     x2 = inst[1] & 0x0F;
1759     b2 = inst[2] >> 4;
1760     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1761     DISASM_SET_NAME;
1762     DISASM_PRINT_OPERANDS
1763         "%d,%d,%d(%d,%d)",r1,r3,d2,x2,b2);
1764     DISASM_LOGMSG;
1765 }
1766 
disasm_RS(BYTE inst[],char mnemonic[],char * p)1767 int disasm_RS (BYTE inst[], char mnemonic[], char *p)
1768 {
1769 DISASM_COMMON_VARS;
1770 int r1,r3,b2,d2;
1771     r1 = inst[1] >> 4;
1772     r3 = inst[1] & 0x0F;
1773     b2 = inst[2] >> 4;
1774     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1775     DISASM_SET_NAME;
1776     DISASM_PRINT_OPERANDS
1777         "%d,%d,%d(%d)",r1,r3,d2,b2);
1778     DISASM_LOGMSG;
1779 }
1780 
1781 // "Mnemonic   R1,D2(B2)"
disasm_RS_R1D2B2(BYTE inst[],char mnemonic[],char * p)1782 int disasm_RS_R1D2B2 (BYTE inst[], char mnemonic[], char *p)
1783 {
1784 DISASM_COMMON_VARS;
1785 int r1,b2,d2;
1786     r1 = inst[1] >> 4;
1787     b2 = inst[2] >> 4;
1788     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1789     DISASM_SET_NAME;
1790     DISASM_PRINT_OPERANDS
1791         "%d,%d(%d)",r1,d2,b2);
1792     DISASM_LOGMSG;
1793 }
1794 
disasm_RSE(BYTE inst[],char mnemonic[],char * p)1795 int disasm_RSE (BYTE inst[], char mnemonic[], char *p)
1796 {
1797 DISASM_COMMON_VARS;
1798 int r1,r3,b2,d2;
1799     r1 = inst[1] >> 4;
1800     r3 = inst[1] & 0x0F;
1801     b2 = inst[2] >> 4;
1802     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1803     DISASM_SET_NAME;
1804     DISASM_PRINT_OPERANDS
1805         "%d,%d,%d(%d)",r1,r3,d2,b2);
1806     DISASM_LOGMSG;
1807 }
1808 
disasm_RSY(BYTE inst[],char mnemonic[],char * p)1809 int disasm_RSY (BYTE inst[], char mnemonic[], char *p)
1810 {
1811 DISASM_COMMON_VARS;
1812 int r1,r3,b2,d2;
1813     r1 = inst[1] >> 4;
1814     r3 = inst[1] & 0x0F;
1815     b2 = inst[2] >> 4;
1816     d2 = (((S8)inst[4]) << 12) | (inst[2] & 0x0F) << 8 | inst[3];
1817     DISASM_SET_NAME;
1818     DISASM_PRINT_OPERANDS
1819         "%d,%d,%d(%d)",r1,r3,d2,b2);
1820     DISASM_LOGMSG;
1821 }
1822 
disasm_RSY_M3(BYTE inst[],char mnemonic[],char * p)1823 int disasm_RSY_M3 (BYTE inst[], char mnemonic[], char *p)               /*810*/
1824 {
1825 DISASM_COMMON_VARS;
1826 int r1,b2,d2,m3;
1827     r1 = inst[1] >> 4;
1828     m3 = inst[1] & 0x0F;
1829     b2 = inst[2] >> 4;
1830     d2 = (((S8)inst[4]) << 12) | (inst[2] & 0x0F) << 8 | inst[3];
1831     DISASM_SET_NAME;
1832     DISASM_PRINT_OPERANDS
1833         "%d,%d(%d),%d",r1,d2,b2,m3);
1834     DISASM_LOGMSG;
1835 }
1836 
disasm_RSL(BYTE inst[],char mnemonic[],char * p)1837 int disasm_RSL (BYTE inst[], char mnemonic[], char *p)
1838 {
1839 DISASM_COMMON_VARS;
1840 int l1,b1,d1;
1841     l1 = inst[1] >> 4;
1842     b1 = inst[2] >> 4;
1843     d1 = (inst[2] & 0x0F) << 8 | inst[3];
1844     DISASM_SET_NAME;
1845     DISASM_PRINT_OPERANDS
1846         "%d(%d,%d)",d1,l1+1,b1);
1847     DISASM_LOGMSG;
1848 }
1849 
disasm_RSL_RM(BYTE inst[],char mnemonic[],char * p)1850 int disasm_RSL_RM (BYTE inst[], char mnemonic[], char *p)
1851 {
1852 DISASM_COMMON_VARS;
1853 int r1,l2,b2,d2,m3;
1854     l2 = inst[1];
1855     b2 = inst[2] >> 4;
1856     d2 = (inst[2] & 0x0F) << 8 | inst[3];
1857     r1 = inst[4] >> 4;
1858     m3 = inst[4] & 0x0F;
1859     DISASM_SET_NAME;
1860     DISASM_PRINT_OPERANDS
1861         "%d,%d(%d,%d),%d",r1,d2,l2+1,b2,m3);
1862     DISASM_LOGMSG;
1863 }
1864 
disasm_RSI_B(BYTE inst[],char mnemonic[],char * p)1865 int disasm_RSI_B (BYTE inst[], char mnemonic[], char *p)
1866 {
1867 DISASM_COMMON_VARS;
1868 int r1,r3,i2;
1869     r1 = inst[1] >> 4;
1870     r3 = inst[1] & 0x0F;
1871     i2 = (S16)(((U16)inst[2] << 8) | inst[3]);
1872     DISASM_SET_NAME;
1873     DISASM_PRINT_OPERANDS
1874         "%d,%d,*%+d",r1,r3,i2*2);
1875     DISASM_LOGMSG;
1876 }
1877 
disasm_RI(BYTE inst[],char mnemonic[],char * p)1878 int disasm_RI (BYTE inst[], char mnemonic[], char *p)
1879 {
1880 DISASM_COMMON_VARS;
1881 int r1,i2;
1882     r1 = inst[1] >> 4;
1883     i2 = (S16)(((U16)inst[2] << 8) | inst[3]);
1884     DISASM_SET_NAME;
1885     DISASM_PRINT_OPERANDS
1886         "%d,%d",r1,i2);
1887     DISASM_LOGMSG;
1888 }
1889 
disasm_RI_B(BYTE inst[],char mnemonic[],char * p)1890 int disasm_RI_B (BYTE inst[], char mnemonic[], char *p)
1891 {
1892 DISASM_COMMON_VARS;
1893 int r1,i2;
1894     r1 = inst[1] >> 4;
1895     i2 = (S16)(((U16)inst[2] << 8) | inst[3]);
1896     DISASM_SET_NAME;
1897     DISASM_PRINT_OPERANDS
1898         "%d,*%+d",r1,i2*2);
1899     DISASM_LOGMSG;
1900 }
1901 
disasm_RIE(BYTE inst[],char mnemonic[],char * p)1902 int disasm_RIE (BYTE inst[], char mnemonic[], char *p)
1903 {
1904 DISASM_COMMON_VARS;
1905 int r1,r3,i2;
1906     r1 = inst[1] >> 4;
1907     r3 = inst[1] & 0x0F;
1908     i2 = (S16)(((U16)inst[2] << 8) | inst[3]);
1909     DISASM_SET_NAME;
1910     DISASM_PRINT_OPERANDS
1911         "%d,%d,*%+d",r1,r3,i2*2);
1912     DISASM_LOGMSG;
1913 }
1914 
disasm_RIE_RRI(BYTE inst[],char mnemonic[],char * p)1915 int disasm_RIE_RRI (BYTE inst[], char mnemonic[], char *p)              /*810*/
1916 {
1917 DISASM_COMMON_VARS;
1918 int r1,r3,i2;
1919     r1 = inst[1] >> 4;
1920     r3 = inst[1] & 0x0F;
1921     i2 = (S16)(((U16)inst[2] << 8) | inst[3]);
1922     DISASM_SET_NAME;
1923     DISASM_PRINT_OPERANDS
1924         "%d,%d,%d",r1,r3,i2);
1925     DISASM_LOGMSG;
1926 }
1927 
disasm_RIE_RIM(BYTE inst[],char mnemonic[],char * p)1928 int disasm_RIE_RIM (BYTE inst[], char mnemonic[], char *p)              /*208*/
1929 {
1930 DISASM_COMMON_VARS;
1931 int r1,i2,m3;
1932     r1 = inst[1] >> 4;
1933     i2 = (S16)(((U16)inst[2] << 8) | inst[3]);
1934     m3 = inst[4] >> 4;
1935     DISASM_SET_NAME;
1936     DISASM_PRINT_OPERANDS
1937         "%d,%d,%d",r1,i2,m3);
1938     DISASM_LOGMSG;
1939 }
1940 
disasm_RIE_RRIM(BYTE inst[],char mnemonic[],char * p)1941 int disasm_RIE_RRIM (BYTE inst[], char mnemonic[], char *p)             /*208*/
1942 {
1943 DISASM_COMMON_VARS;
1944 int r1,r2,i4,m3;
1945     r1 = inst[1] >> 4;
1946     r2 = inst[1] & 0x0F;
1947     i4 = (S16)(((U16)inst[2] << 8) | inst[3]);
1948     m3 = inst[4] >> 4;
1949     DISASM_SET_NAME;
1950     DISASM_PRINT_OPERANDS
1951         "%d,%d,%d,*%+d",r1,r2,m3,i4*2);
1952     DISASM_LOGMSG;
1953 }
1954 
disasm_RIE_RMII(BYTE inst[],char mnemonic[],char * p)1955 int disasm_RIE_RMII (BYTE inst[], char mnemonic[], char *p)             /*208*/
1956 {
1957 DISASM_COMMON_VARS;
1958 int r1,m3,i4,i2;
1959     r1 = inst[1] >> 4;
1960     m3 = inst[1] & 0x0F;
1961     i4 = (S16)(((U16)inst[2] << 8) | inst[3]);
1962     i2 = inst[4];
1963     DISASM_SET_NAME;
1964     DISASM_PRINT_OPERANDS
1965         "%d,%d,%d,*%+d",r1,i2,m3,i4*2);
1966     DISASM_LOGMSG;
1967 }
1968 
disasm_RIE_RRIII(BYTE inst[],char mnemonic[],char * p)1969 int disasm_RIE_RRIII (BYTE inst[], char mnemonic[], char *p)            /*208*/
1970 {
1971 DISASM_COMMON_VARS;
1972 int r1,r2,i3,i4,i5;
1973     r1 = inst[1] >> 4;
1974     r2 = inst[1] & 0x0F;
1975     i3 = inst[2];
1976     i4 = inst[3];
1977     i5 = inst[4];
1978     DISASM_SET_NAME;
1979     DISASM_PRINT_OPERANDS
1980         "%d,%d,%d,%d,%d",r1,r2,i3,i4,i5);
1981     DISASM_LOGMSG;
1982 }
1983 
disasm_RIL(BYTE inst[],char mnemonic[],char * p)1984 int disasm_RIL (BYTE inst[], char mnemonic[], char *p)
1985 {
1986 DISASM_COMMON_VARS;
1987 int r1,i2;
1988     r1 = inst[1] >> 4;
1989     i2 = (S32)((((U32)inst[2] << 24) | ((U32)inst[3] << 16)
1990        | ((U32)inst[4] << 8)) | inst[5]);
1991     DISASM_SET_NAME;
1992     DISASM_PRINT_OPERANDS
1993         "%d,%"I32_FMT"d",r1,i2);
1994     DISASM_LOGMSG;
1995 }
1996 
disasm_RIL_A(BYTE inst[],char mnemonic[],char * p)1997 int disasm_RIL_A (BYTE inst[], char mnemonic[], char *p)                /*208*/
1998 {
1999 DISASM_COMMON_VARS;
2000 int r1,i2;
2001     const S64 Two_S64=2;
2002     r1 = inst[1] >> 4;
2003     i2 = (S32)((((U32)inst[2] << 24) | ((U32)inst[3] << 16)
2004        | ((U32)inst[4] << 8)) | inst[5]);
2005     DISASM_SET_NAME;
2006     DISASM_PRINT_OPERANDS
2007         "%d,*%+"I64_FMT"d",r1,i2*Two_S64);
2008     DISASM_LOGMSG;
2009 }
2010 
disasm_RIS(BYTE inst[],char mnemonic[],char * p)2011 int disasm_RIS (BYTE inst[], char mnemonic[], char *p)                  /*208*/
2012 {
2013 DISASM_COMMON_VARS;
2014 int r1,i2,m3,b4,d4;
2015     r1 = inst[1] >> 4;
2016     m3 = inst[1] & 0x0F;
2017     b4 = inst[2] >> 4;
2018     d4 = (inst[2] & 0x0F) << 8 | inst[3];
2019     i2 = inst[4];
2020     DISASM_SET_NAME;
2021     DISASM_PRINT_OPERANDS
2022         "%d,%d,%d,%d(%d)",r1,i2,m3,d4,b4);
2023     DISASM_LOGMSG;
2024 }
2025 
disasm_RRS(BYTE inst[],char mnemonic[],char * p)2026 int disasm_RRS (BYTE inst[], char mnemonic[], char *p)                  /*208*/
2027 {
2028 DISASM_COMMON_VARS;
2029 int r1,r2,m3,b4,d4;
2030     r1 = inst[1] >> 4;
2031     r2 = inst[1] & 0x0F;
2032     b4 = inst[2] >> 4;
2033     d4 = (inst[2] & 0x0F) << 8 | inst[3];
2034     m3 = inst[4] >> 4;
2035     DISASM_SET_NAME;
2036     DISASM_PRINT_OPERANDS
2037         "%d,%d,%d,%d(%d)",r1,r2,m3,d4,b4);
2038     DISASM_LOGMSG;
2039 }
2040 
disasm_SI(BYTE inst[],char mnemonic[],char * p)2041 int disasm_SI (BYTE inst[], char mnemonic[], char *p)
2042 {
2043 DISASM_COMMON_VARS;
2044 int i2,b1,d1;
2045     i2 = inst[1];
2046     b1 = inst[2] >> 4;
2047     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2048     DISASM_SET_NAME;
2049     DISASM_PRINT_OPERANDS
2050         "%d(%d),%d",d1,b1,i2);
2051     DISASM_LOGMSG;
2052 }
2053 
disasm_SIY(BYTE inst[],char mnemonic[],char * p)2054 int disasm_SIY (BYTE inst[], char mnemonic[], char *p)
2055 {
2056 DISASM_COMMON_VARS;
2057 int i2,b1,d1;
2058     i2 = inst[1];
2059     b1 = inst[2] >> 4;
2060     d1 = (((S8)inst[4]) << 12) | (inst[2] & 0x0F) << 8 | inst[3];
2061     DISASM_SET_NAME;
2062     DISASM_PRINT_OPERANDS
2063         "%d(%d),%d",d1,b1,i2);
2064     DISASM_LOGMSG;
2065 }
2066 
disasm_SIL(BYTE inst[],char mnemonic[],char * p)2067 int disasm_SIL (BYTE inst[], char mnemonic[], char *p)                  /*208*/
2068 {
2069 DISASM_COMMON_VARS;
2070 int b1,d1,i2;
2071     b1 = inst[2] >> 4;
2072     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2073     i2 = (S16)(((U16)inst[4] << 8) | inst[5]);
2074     DISASM_SET_NAME;
2075     DISASM_PRINT_OPERANDS
2076         "%d(%d),%d",d1,b1,i2);
2077     DISASM_LOGMSG;
2078 }
2079 
disasm_SMI_A(BYTE inst[],char mnemonic[],char * p)2080 int disasm_SMI_A (BYTE inst[], char mnemonic[], char *p)                /*912*/
2081 {
2082 DISASM_COMMON_VARS;
2083 int m1,i2,b3,d3;
2084     const S64 Two_S64=2;
2085     m1 = inst[1] >> 4;
2086     b3 = inst[2] >> 4;
2087     d3 = (inst[2] & 0x0F) << 8 | inst[3];
2088     i2 = (S32)(((U32)inst[4] << 8) | (U32)inst[5]);
2089     DISASM_SET_NAME;
2090     DISASM_PRINT_OPERANDS
2091         "%d,*%+"I64_FMT"d,%d(%d)",m1,i2*Two_S64,d3,b3);
2092     DISASM_LOGMSG;
2093 }
2094 
disasm_S(BYTE inst[],char mnemonic[],char * p)2095 int disasm_S (BYTE inst[], char mnemonic[], char *p)
2096 {
2097 DISASM_COMMON_VARS;
2098 int d2,b2;
2099     b2 = inst[2] >> 4;
2100     d2 = (inst[2] & 0x0F) << 8 | inst[3];
2101     DISASM_SET_NAME;
2102     DISASM_PRINT_OPERANDS
2103         "%d(%d)",d2,b2);
2104     DISASM_LOGMSG;
2105 }
2106 
disasm_SS(BYTE inst[],char mnemonic[],char * p)2107 int disasm_SS (BYTE inst[], char mnemonic[], char *p)
2108 {
2109 DISASM_COMMON_VARS;
2110 int l1,l2,b1,d1,b2,d2;
2111     l1 = inst[1] >> 4;
2112     l2 = inst[1] & 0x0F;
2113     b1 = inst[2] >> 4;
2114     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2115     b2 = inst[4] >> 4;
2116     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2117     DISASM_SET_NAME;
2118     DISASM_PRINT_OPERANDS
2119         "%d(%d,%d),%d(%d,%d)",d1,l1+1,b1,d2,l2+1,b2);
2120     DISASM_LOGMSG;
2121 }
2122 
disasm_SS_L(BYTE inst[],char mnemonic[],char * p)2123 int disasm_SS_L (BYTE inst[], char mnemonic[], char *p)
2124 {
2125 DISASM_COMMON_VARS;
2126 int l1,b1,d1,b2,d2;
2127     l1 = inst[1];
2128     b1 = inst[2] >> 4;
2129     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2130     b2 = inst[4] >> 4;
2131     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2132     DISASM_SET_NAME;
2133     DISASM_PRINT_OPERANDS
2134         "%d(%d,%d),%d(%d)",d1,l1+1,b1,d2,b2);
2135     DISASM_LOGMSG;
2136 }
2137 
2138 // "Mnemonic   D1(B1),D2(L2,B2)"
disasm_SS_L2(BYTE inst[],char mnemonic[],char * p)2139 int disasm_SS_L2 (BYTE inst[], char mnemonic[], char *p)
2140 {
2141 DISASM_COMMON_VARS;
2142 int l2,b1,d1,b2,d2;
2143     l2 = inst[1];
2144     b1 = inst[2] >> 4;
2145     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2146     b2 = inst[4] >> 4;
2147     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2148     DISASM_SET_NAME;
2149     DISASM_PRINT_OPERANDS
2150         "%d(%d),%d(%d,%d)",d1,b1,d2,l2+1,b2);
2151     DISASM_LOGMSG;
2152 }
2153 
disasm_SS_R(BYTE inst[],char mnemonic[],char * p)2154 int disasm_SS_R (BYTE inst[], char mnemonic[], char *p)
2155 {
2156 DISASM_COMMON_VARS;
2157 int r1,r3,b2,d2,b4,d4;
2158     r1 = inst[1] >> 4;
2159     r3 = inst[1] & 0x0F;
2160     b2 = inst[2] >> 4;
2161     d2 = (inst[2] & 0x0F) << 8 | inst[3];
2162     b4 = inst[4] >> 4;
2163     d4 = (inst[4] & 0x0F) << 8 | inst[5];
2164     DISASM_SET_NAME;
2165     DISASM_PRINT_OPERANDS
2166         "%d,%d,%d(%d),%d(%d)",r1,r3,d2,b2,d4,b4);
2167     DISASM_LOGMSG;
2168 }
2169 
2170 // "Mnemonic   D1(R1,B1),D2(B2),R3"
disasm_SS_R3(BYTE inst[],char mnemonic[],char * p)2171 int disasm_SS_R3 (BYTE inst[], char mnemonic[], char *p)
2172 {
2173 DISASM_COMMON_VARS;
2174 int r1,r3,b1,d1,b2,d2;
2175     r1 = inst[1] >> 4;
2176     r3 = inst[1] & 0x0F;
2177     b1 = inst[2] >> 4;
2178     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2179     b2 = inst[4] >> 4;
2180     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2181     DISASM_SET_NAME;
2182     DISASM_PRINT_OPERANDS
2183         "%d(%d,%d),%d(%d),%d",d1,r1,b1,d2,b2,r3);
2184     DISASM_LOGMSG;
2185 }
2186 
2187 // "Mnemonic   R1,D2(B2),R3,D4(B4)"
disasm_SS_RSRS(BYTE inst[],char mnemonic[],char * p)2188 int disasm_SS_RSRS (BYTE inst[], char mnemonic[], char *p)
2189 {
2190 DISASM_COMMON_VARS;
2191 int r1,r3,b2,d2,b4,d4;
2192     r1 = inst[1] >> 4;
2193     r3 = inst[1] & 0x0F;
2194     b2 = inst[2] >> 4;
2195     d2 = (inst[2] & 0x0F) << 8 | inst[3];
2196     b4 = inst[4] >> 4;
2197     d4 = (inst[4] & 0x0F) << 8 | inst[5];
2198     DISASM_SET_NAME;
2199     DISASM_PRINT_OPERANDS
2200         "%d,%d(%d),%d,%d(%d)",r1,d2,b2,r3,d4,b4);
2201     DISASM_LOGMSG;
2202 }
2203 
2204 // "Mnemonic   D1(L1,B1),D2(B2),I3"
disasm_SS_I(BYTE inst[],char mnemonic[],char * p)2205 int disasm_SS_I (BYTE inst[], char mnemonic[], char *p)
2206 {
2207 DISASM_COMMON_VARS;
2208 int l1,i3,b1,d1,b2,d2;
2209     l1 = inst[1] >> 4;
2210     i3 = inst[1] & 0x0F;
2211     b1 = inst[2] >> 4;
2212     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2213     b2 = inst[4] >> 4;
2214     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2215     DISASM_SET_NAME;
2216     DISASM_PRINT_OPERANDS
2217         "%d(%d,%d),%d(%d),%d",d1,l1,b1,d2,b2,i3);
2218     DISASM_LOGMSG;
2219 }
2220 
disasm_SSE(BYTE inst[],char mnemonic[],char * p)2221 int disasm_SSE (BYTE inst[], char mnemonic[], char *p)
2222 {
2223 DISASM_COMMON_VARS;
2224 int b1,d1,b2,d2;
2225     b1 = inst[2] >> 4;
2226     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2227     b2 = inst[4] >> 4;
2228     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2229     DISASM_SET_NAME;
2230     DISASM_PRINT_OPERANDS
2231         "%d(%d),%d(%d)",d1,b1,d2,b2);
2232     DISASM_LOGMSG;
2233 }
2234 
disasm_SSF(BYTE inst[],char mnemonic[],char * p)2235 int disasm_SSF (BYTE inst[], char mnemonic[], char *p)
2236 {
2237 DISASM_COMMON_VARS;
2238 int r3,b1,d1,b2,d2;
2239     r3 = inst[1] >> 4;
2240     b1 = inst[2] >> 4;
2241     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2242     b2 = inst[4] >> 4;
2243     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2244     DISASM_SET_NAME;
2245     DISASM_PRINT_OPERANDS
2246         "%d(%d),%d(%d),%d",d1,b1,d2,b2,r3);
2247     DISASM_LOGMSG;
2248 }
2249 
disasm_SSF_RSS(BYTE inst[],char mnemonic[],char * p)2250 int disasm_SSF_RSS (BYTE inst[], char mnemonic[], char *p)              /*810*/
2251 {
2252 DISASM_COMMON_VARS;
2253 int r3,b1,d1,b2,d2;
2254     r3 = inst[1] >> 4;
2255     b1 = inst[2] >> 4;
2256     d1 = (inst[2] & 0x0F) << 8 | inst[3];
2257     b2 = inst[4] >> 4;
2258     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2259     DISASM_SET_NAME;
2260     DISASM_PRINT_OPERANDS
2261         "%d,%d(%d),%d(%d)",r3,d1,b1,d2,b2);
2262     DISASM_LOGMSG;
2263 }
2264 
disasm_VST(BYTE inst[],char mnemonic[],char * p)2265 int disasm_VST (BYTE inst[], char mnemonic[], char *p)
2266 {
2267 DISASM_COMMON_VARS;
2268 int vr3,rt2,vr1,rs2;
2269     vr3 = inst[2] >> 4;
2270     rt2 = inst[2] & 0x0F;
2271     vr1 = inst[3] >> 4;
2272     rs2 = inst[3] & 0x0F;
2273     DISASM_SET_NAME;
2274     DISASM_PRINT_OPERANDS
2275         "%d,%d,%d(%d)",vr1,vr3,rs2,rt2);
2276     DISASM_LOGMSG;
2277 }
2278 
disasm_VR(BYTE inst[],char mnemonic[],char * p)2279 int disasm_VR (BYTE inst[], char mnemonic[], char *p)
2280 {
2281 DISASM_COMMON_VARS;
2282 int vr1,fr3,gr2;
2283     fr3 = inst[2] >> 4;
2284     vr1 = inst[3] >> 4;
2285     gr2 = inst[3] & 0x0F;
2286     DISASM_SET_NAME;
2287     DISASM_PRINT_OPERANDS
2288         "%d,%d,%d",vr1,fr3,gr2);
2289     DISASM_LOGMSG;
2290 }
2291 
disasm_VS(BYTE inst[],char mnemonic[],char * p)2292 int disasm_VS (BYTE inst[], char mnemonic[], char *p)
2293 {
2294 DISASM_COMMON_VARS;
2295 int rs2;
2296     rs2 = inst[3] & 0x0F;
2297     DISASM_SET_NAME;
2298     DISASM_PRINT_OPERANDS
2299         "%d",rs2);
2300     DISASM_LOGMSG;
2301 }
2302 
disasm_VRSE(BYTE inst[],char mnemonic[],char * p)2303 int disasm_VRSE (BYTE inst[], char mnemonic[], char *p)
2304 {
2305 DISASM_COMMON_VARS;
2306 int vr1,vr3,d2,b2;
2307     vr3 = inst[2] >> 4;
2308     vr1 = inst[3] >> 4;
2309     b2 = inst[4] >> 4;
2310     d2 = (inst[4] & 0x0F) << 8 | inst[5];
2311     DISASM_SET_NAME;
2312     DISASM_PRINT_OPERANDS
2313         "%d,%d,%d(%d)",vr1,vr3,d2,b2);
2314     DISASM_LOGMSG;
2315 }
2316 
disasm_S_NW(BYTE inst[],char mnemonic[],char * p)2317 int disasm_S_NW (BYTE inst[], char mnemonic[], char *p)
2318 {
2319 DISASM_COMMON_VARS;
2320 int d2,b2;
2321     b2 = inst[2] >> 4;
2322     d2 = (inst[2] & 0x0F) << 8 | inst[3];
2323     DISASM_SET_NAME;
2324     DISASM_PRINT_OPERANDS
2325         "%d(%d)",d2,b2);
2326     DISASM_LOGMSG;
2327 }
2328 
2329 /* Gabor Hoffer (performance option) */
2330 DLL_EXPORT zz_func s370_opcode_table[256];
2331 static zz_func s370_opcode_a4xx[256];
2332 static zz_func s370_opcode_a5xx[256];
2333 static zz_func s370_opcode_a6xx[256];
2334 static zz_func s370_opcode_a7xx[256];
2335 static zz_func s370_opcode_b2xx[256];
2336 static zz_func s370_opcode_b3xx[256];                           /*FPE*/
2337 static zz_func s370_opcode_b9xx[256];
2338 static zz_func s370_opcode_c0xx[256];                           /*@N3*/
2339 static zz_func s370_opcode_c2xx[256];                           /*208*/
2340 static zz_func s370_opcode_c4xx[256];                           /*208*/
2341 static zz_func s370_opcode_c6xx[256];                           /*208*/
2342 static zz_func s370_opcode_e3xx[256];                           /*@N3*/
2343 static zz_func s370_opcode_e4xx[256];
2344 static zz_func s370_opcode_e5xx[256];
2345 static zz_func s370_opcode_e6xx[256];
2346 static zz_func s370_opcode_ebxx[256];
2347 static zz_func s370_opcode_ecxx[256];                           /*@N3*/
2348 
2349 zz_func s390_opcode_table[256];
2350 static zz_func s390_opcode_01xx[256];
2351 static zz_func s390_opcode_a4xx[256];
2352 static zz_func s390_opcode_a5xx[256];
2353 static zz_func s390_opcode_a6xx[256];
2354 static zz_func s390_opcode_a7xx[256];
2355 static zz_func s390_opcode_b2xx[256];
2356 static zz_func s390_opcode_b3xx[256];
2357 static zz_func s390_opcode_b9xx[256];
2358 static zz_func s390_opcode_c0xx[256];
2359 static zz_func s390_opcode_c2xx[256];                           /*@Z9*/
2360 static zz_func s390_opcode_c4xx[256];                           /*208*/
2361 static zz_func s390_opcode_c6xx[256];                           /*208*/
2362 static zz_func s390_opcode_e3xx[256];
2363 static zz_func s390_opcode_e4xx[256];
2364 static zz_func s390_opcode_e5xx[256];
2365 static zz_func s390_opcode_ebxx[256];
2366 static zz_func s390_opcode_ecxx[256];
2367 static zz_func s390_opcode_edxx[256];
2368 
2369 zz_func z900_opcode_table[256];
2370 static zz_func z900_opcode_01xx[256];
2371 static zz_func z900_opcode_a5xx[256];
2372 static zz_func z900_opcode_a7xx[256];
2373 static zz_func z900_opcode_b2xx[256];
2374 static zz_func z900_opcode_b3xx[256];
2375 static zz_func z900_opcode_b9xx[256];
2376 static zz_func z900_opcode_c0xx[256];
2377 static zz_func z900_opcode_c2xx[256];                           /*@Z9*/
2378 static zz_func z900_opcode_c4xx[256];                           /*208*/
2379 static zz_func z900_opcode_c6xx[256];                           /*208*/
2380 static zz_func z900_opcode_c8xx[256];
2381 static zz_func z900_opcode_ccxx[256];                           /*810*/
2382 static zz_func z900_opcode_e3xx[256];
2383 static zz_func z900_opcode_e5xx[256];
2384 static zz_func z900_opcode_ebxx[256];
2385 static zz_func z900_opcode_ecxx[256];
2386 static zz_func z900_opcode_edxx[256];
2387 
copy_opcode_tables()2388 DLL_EXPORT void copy_opcode_tables()
2389 {
2390 int i;
2391 
2392     for (i = 0; i < 256; i++)
2393     {
2394 #if defined(_370)
2395         s370_opcode_table[i] = opcode_table[i][ARCH_370];
2396         s370_opcode_a4xx [i] = v_opcode_a4xx [i][ARCH_370];
2397         s370_opcode_a5xx [i] = v_opcode_a5xx [i][ARCH_370];
2398         s370_opcode_a6xx [i] = v_opcode_a6xx [i][ARCH_370];
2399         s370_opcode_a7xx [i] = opcode_a7xx [i&0x0F][ARCH_370];
2400         s370_opcode_b2xx [i] = opcode_b2xx [i][ARCH_370];
2401         s370_opcode_b3xx [i] = opcode_b3xx [i][ARCH_370];       /*FPE*/
2402         s370_opcode_b9xx [i] = opcode_b9xx [i][ARCH_370];
2403         s370_opcode_c0xx [i] = opcode_c0xx [i&0x0F][ARCH_370];  /*@N3*/
2404         s370_opcode_c2xx [i] = opcode_c2xx [i&0x0F][ARCH_370];  /*208*/
2405         s370_opcode_c4xx [i] = opcode_c4xx [i&0x0F][ARCH_370];  /*208*/
2406         s370_opcode_c6xx [i] = opcode_c6xx [i&0x0F][ARCH_370];  /*208*/
2407         s370_opcode_e3xx [i] = opcode_e3xx [i][ARCH_370];       /*@N3*/
2408         s370_opcode_e4xx [i] = v_opcode_e4xx [i][ARCH_370];
2409         s370_opcode_e5xx [i] = opcode_e5xx [i][ARCH_370];
2410         s370_opcode_e6xx [i] = opcode_e6xx [i][ARCH_370];
2411         s370_opcode_ebxx [i] = opcode_ebxx [i][ARCH_370];
2412         s370_opcode_ecxx [i] = opcode_ecxx [i][ARCH_370];       /*@N3*/
2413 #endif
2414 
2415 #if defined(_390)
2416         s390_opcode_table[i] = opcode_table[i][ARCH_390];
2417         s390_opcode_01xx [i] = opcode_01xx [i][ARCH_390];
2418         s390_opcode_a4xx [i] = v_opcode_a4xx [i][ARCH_390];
2419         s390_opcode_a5xx [i] = v_opcode_a5xx [i][ARCH_390];
2420         s390_opcode_a6xx [i] = v_opcode_a6xx [i][ARCH_390];
2421         s390_opcode_a7xx [i] = opcode_a7xx [i&0x0F][ARCH_390];
2422         s390_opcode_b2xx [i] = opcode_b2xx [i][ARCH_390];
2423         s390_opcode_b3xx [i] = opcode_b3xx [i][ARCH_390];
2424         s390_opcode_b9xx [i] = opcode_b9xx [i][ARCH_390];
2425         s390_opcode_c0xx [i] = opcode_c0xx [i&0x0F][ARCH_390];
2426         s390_opcode_c2xx [i] = opcode_c2xx [i&0x0F][ARCH_390];  /*@Z9*/
2427         s390_opcode_c4xx [i] = opcode_c4xx [i&0x0F][ARCH_390];  /*208*/
2428         s390_opcode_c6xx [i] = opcode_c6xx [i&0x0F][ARCH_390];  /*208*/
2429         s390_opcode_e3xx [i] = opcode_e3xx [i][ARCH_390];
2430         s390_opcode_e4xx [i] = v_opcode_e4xx [i][ARCH_390];
2431         s390_opcode_e5xx [i] = opcode_e5xx [i][ARCH_390];
2432         s390_opcode_ebxx [i] = opcode_ebxx [i][ARCH_390];
2433         s390_opcode_ecxx [i] = opcode_ecxx [i][ARCH_390];
2434         s390_opcode_edxx [i] = opcode_edxx [i][ARCH_390];
2435 #endif
2436 
2437 #if defined(_900)
2438         z900_opcode_table[i] = opcode_table[i][ARCH_900];
2439         z900_opcode_01xx [i] = opcode_01xx [i][ARCH_900];
2440         z900_opcode_a5xx [i] = opcode_a5xx [i&0x0F][ARCH_900];
2441         z900_opcode_a7xx [i] = opcode_a7xx [i&0x0F][ARCH_900];
2442         z900_opcode_b2xx [i] = opcode_b2xx [i][ARCH_900];
2443         z900_opcode_b3xx [i] = opcode_b3xx [i][ARCH_900];
2444         z900_opcode_b9xx [i] = opcode_b9xx [i][ARCH_900];
2445         z900_opcode_c0xx [i] = opcode_c0xx [i&0x0F][ARCH_900];
2446         z900_opcode_c2xx [i] = opcode_c2xx [i&0x0F][ARCH_900];  /*@Z9*/
2447         z900_opcode_c4xx [i] = opcode_c4xx [i&0x0F][ARCH_900];  /*208*/
2448         z900_opcode_c6xx [i] = opcode_c6xx [i&0x0F][ARCH_900];  /*208*/
2449         z900_opcode_c8xx [i] = opcode_c8xx [i&0x0F][ARCH_900];
2450         z900_opcode_ccxx [i] = opcode_ccxx [i&0x0F][ARCH_900];  /*810*/
2451         z900_opcode_e3xx [i] = opcode_e3xx [i][ARCH_900];
2452         z900_opcode_e5xx [i] = opcode_e5xx [i][ARCH_900];
2453         z900_opcode_ebxx [i] = opcode_ebxx [i][ARCH_900];
2454         z900_opcode_ecxx [i] = opcode_ecxx [i][ARCH_900];
2455         z900_opcode_edxx [i] = opcode_edxx [i][ARCH_900];
2456 #endif
2457     }
2458 }
2459 
set_opcode_pointers(REGS * regs)2460 void set_opcode_pointers(REGS *regs)
2461 {
2462 #if defined(_370)
2463     memcpy(regs->s370_opcode_table, s370_opcode_table,
2464            sizeof(s370_opcode_table));
2465     regs->s370_opcode_a4xx = s370_opcode_a4xx;
2466     regs->s370_opcode_a5xx = s370_opcode_a5xx;
2467     regs->s370_opcode_a6xx = s370_opcode_a6xx;
2468  #if defined(MULTI_BYTE_ASSIST)
2469     memcpy(regs->s370_opcode_a7xx, s370_opcode_a7xx,
2470            sizeof(s370_opcode_a7xx));
2471     memcpy(regs->s370_opcode_b2xx, s370_opcode_b2xx,
2472            sizeof(s370_opcode_b2xx));
2473     memcpy(regs->s370_opcode_b9xx, s370_opcode_b9xx,
2474            sizeof(s370_opcode_b9xx));
2475     memcpy(regs->s370_opcode_c0xx, s370_opcode_c0xx,            /*@N3*/
2476            sizeof(s370_opcode_c0xx));                           /*@N3*/
2477     memcpy(regs->s370_opcode_e3xx, s370_opcode_e3xx,            /*@N3*/
2478            sizeof(s370_opcode_e3xx));                           /*@N3*/
2479     memcpy(regs->s370_opcode_ebxx, s370_opcode_ebxx,
2480            sizeof(s370_opcode_ebxx));
2481  #else
2482     regs->s370_opcode_a7xx = s370_opcode_a7xx;
2483     regs->s370_opcode_b2xx = s370_opcode_b2xx;
2484     regs->s370_opcode_b9xx = s370_opcode_b9xx;
2485     regs->s370_opcode_c0xx = s370_opcode_c0xx;                  /*@N3*/
2486     regs->s370_opcode_e3xx = s370_opcode_e3xx;                  /*@N3*/
2487     regs->s370_opcode_ebxx = s370_opcode_ebxx;
2488  #endif
2489     regs->s370_opcode_b3xx = s370_opcode_b3xx;                  /*FPE*/
2490     regs->s370_opcode_c2xx = s370_opcode_c2xx;                  /*208*/
2491     regs->s370_opcode_c4xx = s370_opcode_c4xx;                  /*208*/
2492     regs->s370_opcode_c6xx = s370_opcode_c6xx;                  /*208*/
2493     regs->s370_opcode_e4xx = s370_opcode_e4xx;
2494     regs->s370_opcode_e5xx = s370_opcode_e5xx;
2495     regs->s370_opcode_e6xx = s370_opcode_e6xx;
2496     regs->s370_opcode_ecxx = s370_opcode_ecxx;                  /*@N3*/
2497 #endif
2498 #if defined(_390)
2499     memcpy(regs->s390_opcode_table, s390_opcode_table,
2500            sizeof(s390_opcode_table));
2501     regs->s390_opcode_01xx = s390_opcode_01xx;
2502     regs->s390_opcode_a4xx = s390_opcode_a4xx;
2503     regs->s390_opcode_a5xx = s390_opcode_a5xx;
2504     regs->s390_opcode_a6xx = s390_opcode_a6xx;
2505  #if defined(MULTI_BYTE_ASSIST)
2506     memcpy(regs->s390_opcode_a7xx, s390_opcode_a7xx,
2507            sizeof(s390_opcode_a7xx));
2508     memcpy(regs->s390_opcode_b2xx, s390_opcode_b2xx,
2509            sizeof(s390_opcode_b2xx));
2510     memcpy(regs->s390_opcode_b9xx, s390_opcode_b9xx,
2511            sizeof(s390_opcode_b9xx));
2512     memcpy(regs->s390_opcode_c0xx, s390_opcode_c0xx,
2513            sizeof(s390_opcode_c0xx));
2514     memcpy(regs->s390_opcode_e3xx, s390_opcode_e3xx,
2515            sizeof(s390_opcode_e3xx));
2516     memcpy(regs->s390_opcode_ebxx, s390_opcode_ebxx,
2517            sizeof(s390_opcode_ebxx));
2518  #else
2519     regs->s390_opcode_a7xx = s390_opcode_a7xx;
2520     regs->s390_opcode_b2xx = s390_opcode_b2xx;
2521     regs->s390_opcode_b9xx = s390_opcode_b9xx;
2522     regs->s390_opcode_c0xx = s390_opcode_c0xx;
2523     regs->s390_opcode_e3xx = s390_opcode_e3xx;
2524     regs->s390_opcode_ebxx = s390_opcode_ebxx;
2525  #endif
2526     regs->s390_opcode_b3xx = s390_opcode_b3xx;
2527     regs->s390_opcode_c2xx = s390_opcode_c2xx;                  /*@Z9*/
2528     regs->s390_opcode_c4xx = s390_opcode_c4xx;                  /*208*/
2529     regs->s390_opcode_c6xx = s390_opcode_c6xx;                  /*208*/
2530     regs->s390_opcode_e4xx = s390_opcode_e4xx;
2531     regs->s390_opcode_e5xx = s390_opcode_e5xx;
2532     regs->s390_opcode_ecxx = s390_opcode_ecxx;
2533     regs->s390_opcode_edxx = s390_opcode_edxx;
2534 #endif
2535 #if defined(_900)
2536     memcpy(regs->z900_opcode_table, z900_opcode_table,
2537            sizeof(z900_opcode_table));
2538     regs->z900_opcode_01xx = z900_opcode_01xx;
2539     regs->z900_opcode_a5xx = z900_opcode_a5xx;
2540  #if defined(MULTI_BYTE_ASSIST)
2541     memcpy(regs->z900_opcode_a7xx, z900_opcode_a7xx,
2542            sizeof(z900_opcode_a7xx));
2543     memcpy(regs->z900_opcode_b2xx, z900_opcode_b2xx,
2544            sizeof(z900_opcode_b2xx));
2545     memcpy(regs->z900_opcode_b9xx, z900_opcode_b9xx,
2546            sizeof(z900_opcode_b9xx));
2547     memcpy(regs->z900_opcode_c0xx, z900_opcode_c0xx,
2548            sizeof(z900_opcode_c0xx));
2549     memcpy(regs->z900_opcode_e3xx, z900_opcode_e3xx,
2550            sizeof(z900_opcode_e3xx));
2551     memcpy(regs->z900_opcode_ebxx, z900_opcode_ebxx,
2552            sizeof(z900_opcode_ebxx));
2553  #else
2554     regs->z900_opcode_a7xx = z900_opcode_a7xx;
2555     regs->z900_opcode_b2xx = z900_opcode_b2xx;
2556     regs->z900_opcode_b9xx = z900_opcode_b9xx;
2557     regs->z900_opcode_c0xx = z900_opcode_c0xx;
2558     regs->z900_opcode_e3xx = z900_opcode_e3xx;
2559     regs->z900_opcode_ebxx = z900_opcode_ebxx;
2560  #endif
2561     regs->z900_opcode_b3xx = z900_opcode_b3xx;
2562     regs->z900_opcode_c2xx = z900_opcode_c2xx;                  /*@Z9*/
2563     regs->z900_opcode_c4xx = z900_opcode_c4xx;                  /*208*/
2564     regs->z900_opcode_c6xx = z900_opcode_c6xx;                  /*208*/
2565     regs->z900_opcode_c8xx = z900_opcode_c8xx;
2566     regs->z900_opcode_ccxx = z900_opcode_ccxx;                  /*810*/
2567     regs->z900_opcode_e5xx = z900_opcode_e5xx;
2568     regs->z900_opcode_ecxx = z900_opcode_ecxx;
2569     regs->z900_opcode_edxx = z900_opcode_edxx;
2570 #endif
2571 }
2572 
2573 DLL_EXPORT zz_func opcode_table[256][GEN_MAXARCH] = {
2574  /*00*/   GENx___x___x___ ,
2575  /*01*/   GENx___x390x900 (execute_01xx,01xx,""),
2576  /*02*/   GENx___x___x___ ,
2577  /*03*/   GENx___x___x___ ,
2578  /*04*/   GENx370x390x900 (set_program_mask,RR_R1,"SPM"),
2579  /*05*/   GENx370x390x900 (branch_and_link_register,RR,"BALR"),
2580  /*06*/   GENx370x390x900 (branch_on_count_register,RR,"BCTR"),
2581  /*07*/   GENx370x390x900 (branch_on_condition_register,RR,"BCR"),
2582  /*08*/   GENx370x___x___ (set_storage_key,RR,"SSK"),
2583  /*09*/   GENx370x___x___ (insert_storage_key,RR,"ISK"),
2584  /*0A*/   GENx370x390x900 (supervisor_call,RR_SVC,"SVC"),
2585  /*0B*/   GENx___x390x900 (branch_and_set_mode,RR,"BSM"),
2586  /*0C*/   GENx___x390x900 (branch_and_save_and_set_mode,RR,"BASSM"),
2587  /*0D*/   GENx370x390x900 (branch_and_save_register,RR,"BASR"),
2588  /*0E*/   GENx370x390x900 (move_long,RR,"MVCL"),
2589  /*0F*/   GENx370x390x900 (compare_logical_character_long,RR,"CLCL"),
2590  /*10*/   GENx370x390x900 (load_positive_register,RR,"LPR"),
2591  /*11*/   GENx370x390x900 (load_negative_register,RR,"LNR"),
2592  /*12*/   GENx370x390x900 (load_and_test_register,RR,"LTR"),
2593  /*13*/   GENx370x390x900 (load_complement_register,RR,"LCR"),
2594  /*14*/   GENx370x390x900 (and_register,RR,"NR"),
2595  /*15*/   GENx370x390x900 (compare_logical_register,RR,"CLR"),
2596  /*16*/   GENx370x390x900 (or_register,RR,"OR"),
2597  /*17*/   GENx370x390x900 (exclusive_or_register,RR,"XR"),
2598  /*18*/   GENx370x390x900 (load_register,RR,"LR"),
2599  /*19*/   GENx370x390x900 (compare_register,RR,"CR"),
2600  /*1A*/   GENx370x390x900 (add_register,RR,"AR"),
2601  /*1B*/   GENx370x390x900 (subtract_register,RR,"SR"),
2602  /*1C*/   GENx370x390x900 (multiply_register,RR,"MR"),
2603  /*1D*/   GENx370x390x900 (divide_register,RR,"DR"),
2604  /*1E*/   GENx370x390x900 (add_logical_register,RR,"ALR"),
2605  /*1F*/   GENx370x390x900 (subtract_logical_register,RR,"SLR"),
2606  /*20*/   GENx370x390x900 (load_positive_float_long_reg,RR,"LPDR"),
2607  /*21*/   GENx370x390x900 (load_negative_float_long_reg,RR,"LNDR"),
2608  /*22*/   GENx370x390x900 (load_and_test_float_long_reg,RR,"LTDR"),
2609  /*23*/   GENx370x390x900 (load_complement_float_long_reg,RR,"LCDR"),
2610  /*24*/   GENx370x390x900 (halve_float_long_reg,RR,"HDR"),
2611  /*25*/   GENx370x390x900 (load_rounded_float_long_reg,RR,"LDXR"),
2612  /*26*/   GENx370x390x900 (multiply_float_ext_reg,RR,"MXR"),
2613  /*27*/   GENx370x390x900 (multiply_float_long_to_ext_reg,RR,"MXDR"),
2614  /*28*/   GENx370x390x900 (load_float_long_reg,RR,"LDR"),
2615  /*29*/   GENx370x390x900 (compare_float_long_reg,RR,"CDR"),
2616  /*2A*/   GENx370x390x900 (add_float_long_reg,RR,"ADR"),
2617  /*2B*/   GENx370x390x900 (subtract_float_long_reg,RR,"SDR"),
2618  /*2C*/   GENx370x390x900 (multiply_float_long_reg,RR,"MDR"),
2619  /*2D*/   GENx370x390x900 (divide_float_long_reg,RR,"DDR"),
2620  /*2E*/   GENx370x390x900 (add_unnormal_float_long_reg,RR,"AWR"),
2621  /*2F*/   GENx370x390x900 (subtract_unnormal_float_long_reg,RR,"SWR"),
2622  /*30*/   GENx370x390x900 (load_positive_float_short_reg,RR,"LPER"),
2623  /*31*/   GENx370x390x900 (load_negative_float_short_reg,RR,"LNER"),
2624  /*32*/   GENx370x390x900 (load_and_test_float_short_reg,RR,"LTER"),
2625  /*33*/   GENx370x390x900 (load_complement_float_short_reg,RR,"LCER"),
2626  /*34*/   GENx370x390x900 (halve_float_short_reg,RR,"HER"),
2627  /*35*/   GENx370x390x900 (load_rounded_float_short_reg,RR,"LEDR"),
2628  /*36*/   GENx370x390x900 (add_float_ext_reg,RR,"AXR"),
2629  /*37*/   GENx370x390x900 (subtract_float_ext_reg,RR,"SXR"),
2630  /*38*/   GENx370x390x900 (load_float_short_reg,RR,"LER"),
2631  /*39*/   GENx370x390x900 (compare_float_short_reg,RR,"CER"),
2632  /*3A*/   GENx370x390x900 (add_float_short_reg,RR,"AER"),
2633  /*3B*/   GENx370x390x900 (subtract_float_short_reg,RR,"SER"),
2634  /*3C*/   GENx370x390x900 (multiply_float_short_to_long_reg,RR,"MDER"),
2635  /*3D*/   GENx370x390x900 (divide_float_short_reg,RR,"DER"),
2636  /*3E*/   GENx370x390x900 (add_unnormal_float_short_reg,RR,"AUR"),
2637  /*3F*/   GENx370x390x900 (subtract_unnormal_float_short_reg,RR,"SUR"),
2638  /*40*/   GENx370x390x900 (store_halfword,RX,"STH"),
2639  /*41*/   GENx370x390x900 (load_address,RX,"LA"),
2640  /*42*/   GENx370x390x900 (store_character,RX,"STC"),
2641  /*43*/   GENx370x390x900 (insert_character,RX,"IC"),
2642  /*44*/   GENx370x390x900 (execute,RX,"EX"),
2643  /*45*/   GENx370x390x900 (branch_and_link,RX,"BAL"),
2644  /*46*/   GENx370x390x900 (branch_on_count,RX,"BCT"),
2645  /*47*/   GENx370x390x900 (branch_on_condition,RX,"BC"),
2646  /*48*/   GENx370x390x900 (load_halfword,RX,"LH"),
2647  /*49*/   GENx370x390x900 (compare_halfword,RX,"CH"),
2648  /*4A*/   GENx370x390x900 (add_halfword,RX,"AH"),
2649  /*4B*/   GENx370x390x900 (subtract_halfword,RX,"SH"),
2650  /*4C*/   GENx370x390x900 (multiply_halfword,RX,"MH"),
2651  /*4D*/   GENx370x390x900 (branch_and_save,RX,"BAS"),
2652  /*4E*/   GENx370x390x900 (convert_to_decimal,RX,"CVD"),
2653  /*4F*/   GENx370x390x900 (convert_to_binary,RX,"CVB"),
2654  /*50*/   GENx370x390x900 (store,RX,"ST"),
2655  /*51*/   GENx___x390x900 (load_address_extended,RX,"LAE"),
2656  /*52*/   GENx___x___x___ ,
2657  /*53*/   GENx___x___x___ ,
2658  /*54*/   GENx370x390x900 (and,RX,"N"),
2659  /*55*/   GENx370x390x900 (compare_logical,RX,"CL"),
2660  /*56*/   GENx370x390x900 (or,RX,"O"),
2661  /*57*/   GENx370x390x900 (exclusive_or,RX,"X"),
2662  /*58*/   GENx370x390x900 (load,RX,"L"),
2663  /*59*/   GENx370x390x900 (compare,RX,"C"),
2664  /*5A*/   GENx370x390x900 (add,RX,"A"),
2665  /*5B*/   GENx370x390x900 (subtract,RX,"S"),
2666  /*5C*/   GENx370x390x900 (multiply,RX,"M"),
2667  /*5D*/   GENx370x390x900 (divide,RX,"D"),
2668  /*5E*/   GENx370x390x900 (add_logical,RX,"AL"),
2669  /*5F*/   GENx370x390x900 (subtract_logical,RX,"SL"),
2670  /*60*/   GENx370x390x900 (store_float_long,RX,"STD"),
2671  /*61*/   GENx___x___x___ ,
2672  /*62*/   GENx___x___x___ ,
2673  /*63*/   GENx___x___x___ ,
2674  /*64*/   GENx___x___x___ ,
2675  /*65*/   GENx___x___x___ ,
2676  /*66*/   GENx___x___x___ ,
2677  /*67*/   GENx370x390x900 (multiply_float_long_to_ext,RX,"MXD"),
2678  /*68*/   GENx370x390x900 (load_float_long,RX,"LD"),
2679  /*69*/   GENx370x390x900 (compare_float_long,RX,"CD"),
2680  /*6A*/   GENx370x390x900 (add_float_long,RX,"AD"),
2681  /*6B*/   GENx370x390x900 (subtract_float_long,RX,"SD"),
2682  /*6C*/   GENx370x390x900 (multiply_float_long,RX,"MD"),
2683  /*6D*/   GENx370x390x900 (divide_float_long,RX,"DD"),
2684  /*6E*/   GENx370x390x900 (add_unnormal_float_long,RX,"AW"),
2685  /*6F*/   GENx370x390x900 (subtract_unnormal_float_long,RX,"SW"),
2686  /*70*/   GENx370x390x900 (store_float_short,RX,"STE"),
2687  /*71*/   GENx37Xx390x900 (multiply_single,RX,"MS"),
2688  /*72*/   GENx___x___x___ ,
2689  /*73*/   GENx___x___x___ ,
2690  /*74*/   GENx___x___x___ ,
2691  /*75*/   GENx___x___x___ ,
2692  /*76*/   GENx___x___x___ ,
2693  /*77*/   GENx___x___x___ ,
2694  /*78*/   GENx370x390x900 (load_float_short,RX,"LE"),
2695  /*79*/   GENx370x390x900 (compare_float_short,RX,"CE"),
2696  /*7A*/   GENx370x390x900 (add_float_short,RX,"AE"),
2697  /*7B*/   GENx370x390x900 (subtract_float_short,RX,"SE"),
2698  /*7C*/   GENx370x390x900 (multiply_float_short_to_long,RX,"MDE"),
2699  /*7D*/   GENx370x390x900 (divide_float_short,RX,"DE"),
2700  /*7E*/   GENx370x390x900 (add_unnormal_float_short,RX,"AU"),
2701  /*7F*/   GENx370x390x900 (subtract_unnormal_float_short,RX,"SU"),
2702  /*80*/   GENx370x390x900 (set_system_mask,S,"SSM"),
2703  /*81*/   GENx___x___x___ ,
2704  /*82*/   GENx370x390x900 (load_program_status_word,S,"LPSW"),
2705  /*83*/   GENx370x390x900 (diagnose,RS,"DIAG"),
2706  /*84*/   GENx37Xx390x900 (branch_relative_on_index_high,RSI_B,"BRXH"),
2707  /*85*/   GENx37Xx390x900 (branch_relative_on_index_low_or_equal,RSI_B,"BRXLE"),
2708  /*86*/   GENx370x390x900 (branch_on_index_high,RS,"BXH"),
2709  /*87*/   GENx370x390x900 (branch_on_index_low_or_equal,RS,"BXLE"),
2710  /*88*/   GENx370x390x900 (shift_right_single_logical,RS_R1D2B2,"SRL"),
2711  /*89*/   GENx370x390x900 (shift_left_single_logical,RS_R1D2B2,"SLL"),
2712  /*8A*/   GENx370x390x900 (shift_right_single,RS_R1D2B2,"SRA"),
2713  /*8B*/   GENx370x390x900 (shift_left_single,RS_R1D2B2,"SLA"),
2714  /*8C*/   GENx370x390x900 (shift_right_double_logical,RS_R1D2B2,"SRDL"),
2715  /*8D*/   GENx370x390x900 (shift_left_double_logical,RS_R1D2B2,"SLDL"),
2716  /*8E*/   GENx370x390x900 (shift_right_double,RS_R1D2B2,"SRDA"),
2717  /*8F*/   GENx370x390x900 (shift_left_double,RS_R1D2B2,"SLDA"),
2718  /*90*/   GENx370x390x900 (store_multiple,RS,"STM"),
2719  /*91*/   GENx370x390x900 (test_under_mask,SI,"TM"),
2720  /*92*/   GENx370x390x900 (move_immediate,SI,"MVI"),
2721  /*93*/   GENx370x390x900 (test_and_set,S,"TS"),
2722  /*94*/   GENx370x390x900 (and_immediate,SI,"NI"),
2723  /*95*/   GENx370x390x900 (compare_logical_immediate,SI,"CLI"),
2724  /*96*/   GENx370x390x900 (or_immediate,SI,"OI"),
2725  /*97*/   GENx370x390x900 (exclusive_or_immediate,SI,"XI"),
2726  /*98*/   GENx370x390x900 (load_multiple,RS,"LM"),
2727  /*99*/   GENx___x390x900 (trace,RS,"TRACE"),
2728  /*9A*/   GENx___x390x900 (load_access_multiple,RS,"LAM"),
2729  /*9B*/   GENx___x390x900 (store_access_multiple,RS,"STAM"),
2730  /*9C*/   GENx370x___x___ (start_io,S,"SIO"),
2731  /*9D*/   GENx370x___x___ (test_io,S,"TIO"),
2732  /*9E*/   GENx370x___x___ (halt_io,S,"HIO"),
2733  /*9F*/   GENx370x___x___ (test_channel,S,"TCH"),
2734  /*A0*/   GENx___x___x___ ,
2735  /*A1*/   GENx___x___x___ ,
2736  /*A2*/   GENx___x___x___ ,
2737  /*A3*/   GENx___x___x___ ,
2738  /*A4*/   GENx370x390x___ (execute_a4xx,a4xx,""),
2739  /*A5*/   GENx370x390x900 (execute_a5xx,a5xx,""),
2740  /*A6*/   GENx370x390x___ (execute_a6xx,a6xx,""),
2741  /*A7*/   GENx37Xx390x900 (execute_a7xx,a7xx,""),
2742  /*A8*/   GENx370x390x900 (move_long_extended,RS,"MVCLE"),
2743  /*A9*/   GENx370x390x900 (compare_logical_long_extended,RS,"CLCLE"),
2744  /*AA*/   GENx___x___x___ ,
2745  /*AB*/   GENx___x___x___ ,
2746  /*AC*/   GENx370x390x900 (store_then_and_system_mask,SI,"STNSM"),
2747  /*AD*/   GENx370x390x900 (store_then_or_system_mask,SI,"STOSM"),
2748  /*AE*/   GENx370x390x900 (signal_processor,RS,"SIGP"),
2749  /*AF*/   GENx370x390x900 (monitor_call,SI,"MC"),
2750  /*B0*/   GENx___x___x___ ,
2751  /*B1*/   GENx370x390x900 (load_real_address,RX,"LRA"),
2752  /*B2*/   GENx370x390x900 (execute_b2xx,b2xx,""),
2753  /*B3*/   GENx37Xx390x900 (execute_b3xx,b3xx,""),
2754  /*B4*/   GENx___x___x___ ,
2755  /*B5*/   GENx___x___x___ ,
2756  /*B6*/   GENx370x390x900 (store_control,RS,"STCTL"),
2757  /*B7*/   GENx370x390x900 (load_control,RS,"LCTL"),
2758  /*B8*/   GENx___x___x___ ,
2759  /*B9*/   GENx37Xx390x900 (execute_b9xx,b9xx,""),
2760  /*BA*/   GENx370x390x900 (compare_and_swap,RS,"CS"),
2761  /*BB*/   GENx370x390x900 (compare_double_and_swap,RS,"CDS"),
2762  /*BC*/   GENx___x___x___ ,
2763  /*BD*/   GENx370x390x900 (compare_logical_characters_under_mask,RS,"CLM"),
2764  /*BE*/   GENx370x390x900 (store_characters_under_mask,RS,"STCM"),
2765  /*BF*/   GENx370x390x900 (insert_characters_under_mask,RS,"ICM"),
2766  /*C0*/   GENx37Xx390x900 (execute_c0xx,c0xx,""),
2767  /*C1*/   GENx___x___x___ ,
2768  /*C2*/   GENx37Xx390x900 (execute_c2xx,c2xx,""),               /*@Z9*/
2769  /*C3*/   GENx___x___x___ ,
2770  /*C4*/   GENx37Xx390x900 (execute_c4xx,c4xx,""),               /*208*/
2771  /*C5*/   GENx___x___x900 (branch_prediction_relative_preload,MII_A,"BPRP"),       /*912*/
2772  /*C6*/   GENx37Xx390x900 (execute_c6xx,c6xx,""),               /*208*/
2773  /*C7*/   GENx___x___x900 (branch_prediction_preload,SMI_A,"BPP"),                 /*912*/
2774  /*C8*/   GENx___x___x900 (execute_c8xx,c8xx,""),
2775  /*C9*/   GENx___x___x___ ,
2776  /*CA*/   GENx___x___x___ ,
2777  /*CB*/   GENx___x___x___ ,
2778  /*CC*/   GENx___x___x900 (execute_ccxx,ccxx,""),               /*810*/
2779  /*CD*/   GENx___x___x___ ,
2780  /*CE*/   GENx___x___x___ ,
2781  /*CF*/   GENx___x___x___ ,
2782  /*D0*/   GENx37Xx390x900 (translate_and_test_reverse,SS_L,"TRTR"),
2783  /*D1*/   GENx370x390x900 (move_numerics,SS_L,"MVN"),
2784  /*D2*/   GENx370x390x900 (move_character,SS_L,"MVC"),
2785  /*D3*/   GENx370x390x900 (move_zones,SS_L,"MVZ"),
2786  /*D4*/   GENx370x390x900 (and_character,SS_L,"NC"),
2787  /*D5*/   GENx370x390x900 (compare_logical_character,SS_L,"CLC"),
2788  /*D6*/   GENx370x390x900 (or_character,SS_L,"OC"),
2789  /*D7*/   GENx370x390x900 (exclusive_or_character,SS_L,"XC"),
2790  /*D8*/   GENx___x___x___ ,
2791  /*D9*/   GENx370x390x900 (move_with_key,SS_R3,"MVCK"),
2792  /*DA*/   GENx370x390x900 (move_to_primary,SS_R3,"MVCP"),
2793  /*DB*/   GENx370x390x900 (move_to_secondary,SS_R3,"MVCS"),
2794  /*DC*/   GENx370x390x900 (translate,SS_L,"TR"),
2795  /*DD*/   GENx370x390x900 (translate_and_test,SS_L,"TRT"),
2796  /*DE*/   GENx370x390x900 (edit_x_edit_and_mark,SS_L,"ED"),
2797  /*DF*/   GENx370x390x900 (edit_x_edit_and_mark,SS_L,"EDMK"),
2798  /*E0*/   GENx___x___x___ ,
2799  /*E1*/   GENx37Xx390x900 (pack_unicode,SS_L2,"PKU"),
2800  /*E2*/   GENx37Xx390x900 (unpack_unicode,SS_L,"UNPKU"),
2801  /*E3*/   GENx37Xx390x900 (execute_e3xx,e3xx,""),
2802  /*E4*/   GENx370x390x___ (execute_e4xx,e4xx,""),
2803  /*E5*/   GENx370x390x900 (execute_e5xx,e5xx,""),
2804  /*E6*/   GENx370x___x___ (execute_e6xx,e6xx,""),
2805  /*E7*/   GENx___x___x___ ,
2806  /*E8*/   GENx370x390x900 (move_inverse,SS_L,"MVCIN"),
2807  /*E9*/   GENx37Xx390x900 (pack_ascii,SS_L2,"PKA"),
2808  /*EA*/   GENx37Xx390x900 (unpack_ascii,SS_L,"UNPKA"),
2809  /*EB*/   GENx37Xx390x900 (execute_ebxx,ebxx,""),
2810  /*EC*/   GENx37Xx390x900 (execute_ecxx,ecxx,""),
2811  /*ED*/   GENx37Xx390x900 (execute_edxx,edxx,""),
2812  /*EE*/   GENx___x390x900 (perform_locked_operation,SS_RSRS,"PLO"),
2813  /*EF*/   GENx___x___x900 (load_multiple_disjoint,SS_R,"LMD"),
2814  /*F0*/   GENx370x390x900 (shift_and_round_decimal,SS_I,"SRP"),
2815  /*F1*/   GENx370x390x900 (move_with_offset,SS,"MVO"),
2816  /*F2*/   GENx370x390x900 (pack,SS,"PACK"),
2817  /*F3*/   GENx370x390x900 (unpack,SS,"UNPK"),
2818  /*F4*/   GENx___x___x___ ,
2819  /*F5*/   GENx___x___x___ ,
2820  /*F6*/   GENx___x___x___ ,
2821  /*F7*/   GENx___x___x___ ,
2822  /*F8*/   GENx370x390x900 (zero_and_add,SS,"ZAP"),
2823  /*F9*/   GENx370x390x900 (compare_decimal,SS,"CP"),
2824  /*FA*/   GENx370x390x900 (add_decimal,SS,"AP"),
2825  /*FB*/   GENx370x390x900 (subtract_decimal,SS,"SP"),
2826  /*FC*/   GENx370x390x900 (multiply_decimal,SS,"MP"),
2827  /*FD*/   GENx370x390x900 (divide_decimal,SS,"DP"),
2828  /*FE*/   GENx___x___x___ ,
2829  /*FF*/   GENx___x___x___  };
2830 
2831 
2832 DLL_EXPORT zz_func opcode_01xx[256][GEN_MAXARCH] = {
2833  /*0100*/ GENx___x___x___ ,
2834  /*0101*/ GENx___x390x900 (program_return,E,"PR"),
2835  /*0102*/ GENx___x390x900 (update_tree,E,"UPT"),
2836  /*0103*/ GENx___x___x___ ,
2837  /*0104*/ GENx___x___x900 (perform_timing_facility_function,E,"PTFF"),
2838  /*0105*/ GENx___x___x___ , /*(clear_message,?,"CMSG"),*/
2839  /*0106*/ GENx___x___x___ , /*(test_message,?,"TMSG"),*/
2840  /*0107*/ GENx___x390x900 (set_clock_programmable_field,E,"SCKPF"),
2841  /*0108*/ GENx___x___x___ , /*(test_message_path_state,?,"TMPS"),*/
2842  /*0109*/ GENx___x___x___ , /*(clear_message_path_state,?,"CMPS"),*/
2843  /*010A*/ GENx___x390x900 (perform_floating_point_operation,E,"PFPO"),
2844  /*010B*/ GENx___x390x900 (test_addressing_mode,E,"TAM"),
2845  /*010C*/ GENx___x390x900 (set_addressing_mode_24,E,"SAM24"),
2846  /*010D*/ GENx___x390x900 (set_addressing_mode_31,E,"SAM31"),
2847  /*010E*/ GENx___x___x900 (set_addressing_mode_64,E,"SAM64"),
2848  /*010F*/ GENx___x___x___ ,
2849  /*0110*/ GENx___x___x___ ,
2850  /*0111*/ GENx___x___x___ ,
2851  /*0112*/ GENx___x___x___ ,
2852  /*0113*/ GENx___x___x___ ,
2853  /*0114*/ GENx___x___x___ ,
2854  /*0115*/ GENx___x___x___ ,
2855  /*0116*/ GENx___x___x___ ,
2856  /*0117*/ GENx___x___x___ ,
2857  /*0118*/ GENx___x___x___ ,
2858  /*0119*/ GENx___x___x___ ,
2859  /*011A*/ GENx___x___x___ ,
2860  /*011B*/ GENx___x___x___ ,
2861  /*011C*/ GENx___x___x___ ,
2862  /*011D*/ GENx___x___x___ ,
2863  /*011E*/ GENx___x___x___ ,
2864  /*011F*/ GENx___x___x___ ,
2865  /*0120*/ GENx___x___x___ ,
2866  /*0121*/ GENx___x___x___ ,
2867  /*0122*/ GENx___x___x___ ,
2868  /*0123*/ GENx___x___x___ ,
2869  /*0124*/ GENx___x___x___ ,
2870  /*0125*/ GENx___x___x___ ,
2871  /*0126*/ GENx___x___x___ ,
2872  /*0127*/ GENx___x___x___ ,
2873  /*0128*/ GENx___x___x___ ,
2874  /*0129*/ GENx___x___x___ ,
2875  /*012A*/ GENx___x___x___ ,
2876  /*012B*/ GENx___x___x___ ,
2877  /*012C*/ GENx___x___x___ ,
2878  /*012D*/ GENx___x___x___ ,
2879  /*012E*/ GENx___x___x___ ,
2880  /*012F*/ GENx___x___x___ ,
2881  /*0130*/ GENx___x___x___ ,
2882  /*0131*/ GENx___x___x___ ,
2883  /*0132*/ GENx___x___x___ ,
2884  /*0133*/ GENx___x___x___ ,
2885  /*0134*/ GENx___x___x___ ,
2886  /*0135*/ GENx___x___x___ ,
2887  /*0136*/ GENx___x___x___ ,
2888  /*0137*/ GENx___x___x___ ,
2889  /*0138*/ GENx___x___x___ ,
2890  /*0139*/ GENx___x___x___ ,
2891  /*013A*/ GENx___x___x___ ,
2892  /*013B*/ GENx___x___x___ ,
2893  /*013C*/ GENx___x___x___ ,
2894  /*013D*/ GENx___x___x___ ,
2895  /*013E*/ GENx___x___x___ ,
2896  /*013F*/ GENx___x___x___ ,
2897  /*0140*/ GENx___x___x___ ,
2898  /*0141*/ GENx___x___x___ ,
2899  /*0142*/ GENx___x___x___ ,
2900  /*0143*/ GENx___x___x___ ,
2901  /*0144*/ GENx___x___x___ ,
2902  /*0145*/ GENx___x___x___ ,
2903  /*0146*/ GENx___x___x___ ,
2904  /*0147*/ GENx___x___x___ ,
2905  /*0148*/ GENx___x___x___ ,
2906  /*0149*/ GENx___x___x___ ,
2907  /*014A*/ GENx___x___x___ ,
2908  /*014B*/ GENx___x___x___ ,
2909  /*014C*/ GENx___x___x___ ,
2910  /*014D*/ GENx___x___x___ ,
2911  /*014E*/ GENx___x___x___ ,
2912  /*014F*/ GENx___x___x___ ,
2913  /*0150*/ GENx___x___x___ ,
2914  /*0151*/ GENx___x___x___ ,
2915  /*0152*/ GENx___x___x___ ,
2916  /*0153*/ GENx___x___x___ ,
2917  /*0154*/ GENx___x___x___ ,
2918  /*0155*/ GENx___x___x___ ,
2919  /*0156*/ GENx___x___x___ ,
2920  /*0157*/ GENx___x___x___ ,
2921  /*0158*/ GENx___x___x___ ,
2922  /*0159*/ GENx___x___x___ ,
2923  /*015A*/ GENx___x___x___ ,
2924  /*015B*/ GENx___x___x___ ,
2925  /*015C*/ GENx___x___x___ ,
2926  /*015D*/ GENx___x___x___ ,
2927  /*015E*/ GENx___x___x___ ,
2928  /*015F*/ GENx___x___x___ ,
2929  /*0160*/ GENx___x___x___ ,
2930  /*0161*/ GENx___x___x___ ,
2931  /*0162*/ GENx___x___x___ ,
2932  /*0163*/ GENx___x___x___ ,
2933  /*0164*/ GENx___x___x___ ,
2934  /*0165*/ GENx___x___x___ ,
2935  /*0166*/ GENx___x___x___ ,
2936  /*0167*/ GENx___x___x___ ,
2937  /*0168*/ GENx___x___x___ ,
2938  /*0169*/ GENx___x___x___ ,
2939  /*016A*/ GENx___x___x___ ,
2940  /*016B*/ GENx___x___x___ ,
2941  /*016C*/ GENx___x___x___ ,
2942  /*016D*/ GENx___x___x___ ,
2943  /*016E*/ GENx___x___x___ ,
2944  /*016F*/ GENx___x___x___ ,
2945  /*0170*/ GENx___x___x___ ,
2946  /*0171*/ GENx___x___x___ ,
2947  /*0172*/ GENx___x___x___ ,
2948  /*0173*/ GENx___x___x___ ,
2949  /*0174*/ GENx___x___x___ ,
2950  /*0175*/ GENx___x___x___ ,
2951  /*0176*/ GENx___x___x___ ,
2952  /*0177*/ GENx___x___x___ ,
2953  /*0178*/ GENx___x___x___ ,
2954  /*0179*/ GENx___x___x___ ,
2955  /*017A*/ GENx___x___x___ ,
2956  /*017B*/ GENx___x___x___ ,
2957  /*017C*/ GENx___x___x___ ,
2958  /*017D*/ GENx___x___x___ ,
2959  /*017E*/ GENx___x___x___ ,
2960  /*017F*/ GENx___x___x___ ,
2961  /*0180*/ GENx___x___x___ ,
2962  /*0181*/ GENx___x___x___ ,
2963  /*0182*/ GENx___x___x___ ,
2964  /*0183*/ GENx___x___x___ ,
2965  /*0184*/ GENx___x___x___ ,
2966  /*0185*/ GENx___x___x___ ,
2967  /*0186*/ GENx___x___x___ ,
2968  /*0187*/ GENx___x___x___ ,
2969  /*0188*/ GENx___x___x___ ,
2970  /*0189*/ GENx___x___x___ ,
2971  /*018A*/ GENx___x___x___ ,
2972  /*018B*/ GENx___x___x___ ,
2973  /*018C*/ GENx___x___x___ ,
2974  /*018D*/ GENx___x___x___ ,
2975  /*018E*/ GENx___x___x___ ,
2976  /*018F*/ GENx___x___x___ ,
2977  /*0190*/ GENx___x___x___ ,
2978  /*0191*/ GENx___x___x___ ,
2979  /*0192*/ GENx___x___x___ ,
2980  /*0193*/ GENx___x___x___ ,
2981  /*0194*/ GENx___x___x___ ,
2982  /*0195*/ GENx___x___x___ ,
2983  /*0196*/ GENx___x___x___ ,
2984  /*0197*/ GENx___x___x___ ,
2985  /*0198*/ GENx___x___x___ ,
2986  /*0199*/ GENx___x___x___ ,
2987  /*019A*/ GENx___x___x___ ,
2988  /*019B*/ GENx___x___x___ ,
2989  /*019C*/ GENx___x___x___ ,
2990  /*019D*/ GENx___x___x___ ,
2991  /*019E*/ GENx___x___x___ ,
2992  /*019F*/ GENx___x___x___ ,
2993  /*01A0*/ GENx___x___x___ ,
2994  /*01A1*/ GENx___x___x___ ,
2995  /*01A2*/ GENx___x___x___ ,
2996  /*01A3*/ GENx___x___x___ ,
2997  /*01A4*/ GENx___x___x___ ,
2998  /*01A5*/ GENx___x___x___ ,
2999  /*01A6*/ GENx___x___x___ ,
3000  /*01A7*/ GENx___x___x___ ,
3001  /*01A8*/ GENx___x___x___ ,
3002  /*01A9*/ GENx___x___x___ ,
3003  /*01AA*/ GENx___x___x___ ,
3004  /*01AB*/ GENx___x___x___ ,
3005  /*01AC*/ GENx___x___x___ ,
3006  /*01AD*/ GENx___x___x___ ,
3007  /*01AE*/ GENx___x___x___ ,
3008  /*01AF*/ GENx___x___x___ ,
3009  /*01B0*/ GENx___x___x___ ,
3010  /*01B1*/ GENx___x___x___ ,
3011  /*01B2*/ GENx___x___x___ ,
3012  /*01B3*/ GENx___x___x___ ,
3013  /*01B4*/ GENx___x___x___ ,
3014  /*01B5*/ GENx___x___x___ ,
3015  /*01B6*/ GENx___x___x___ ,
3016  /*01B7*/ GENx___x___x___ ,
3017  /*01B8*/ GENx___x___x___ ,
3018  /*01B9*/ GENx___x___x___ ,
3019  /*01BA*/ GENx___x___x___ ,
3020  /*01BB*/ GENx___x___x___ ,
3021  /*01BC*/ GENx___x___x___ ,
3022  /*01BD*/ GENx___x___x___ ,
3023  /*01BE*/ GENx___x___x___ ,
3024  /*01BF*/ GENx___x___x___ ,
3025  /*01C0*/ GENx___x___x___ ,
3026  /*01C1*/ GENx___x___x___ ,
3027  /*01C2*/ GENx___x___x___ ,
3028  /*01C3*/ GENx___x___x___ ,
3029  /*01C4*/ GENx___x___x___ ,
3030  /*01C5*/ GENx___x___x___ ,
3031  /*01C6*/ GENx___x___x___ ,
3032  /*01C7*/ GENx___x___x___ ,
3033  /*01C8*/ GENx___x___x___ ,
3034  /*01C9*/ GENx___x___x___ ,
3035  /*01CA*/ GENx___x___x___ ,
3036  /*01CB*/ GENx___x___x___ ,
3037  /*01CC*/ GENx___x___x___ ,
3038  /*01CD*/ GENx___x___x___ ,
3039  /*01CE*/ GENx___x___x___ ,
3040  /*01CF*/ GENx___x___x___ ,
3041  /*01D0*/ GENx___x___x___ ,
3042  /*01D1*/ GENx___x___x___ ,
3043  /*01D2*/ GENx___x___x___ ,
3044  /*01D3*/ GENx___x___x___ ,
3045  /*01D4*/ GENx___x___x___ ,
3046  /*01D5*/ GENx___x___x___ ,
3047  /*01D6*/ GENx___x___x___ ,
3048  /*01D7*/ GENx___x___x___ ,
3049  /*01D8*/ GENx___x___x___ ,
3050  /*01D9*/ GENx___x___x___ ,
3051  /*01DA*/ GENx___x___x___ ,
3052  /*01DB*/ GENx___x___x___ ,
3053  /*01DC*/ GENx___x___x___ ,
3054  /*01DD*/ GENx___x___x___ ,
3055  /*01DE*/ GENx___x___x___ ,
3056  /*01DF*/ GENx___x___x___ ,
3057  /*01E0*/ GENx___x___x___ ,
3058  /*01E1*/ GENx___x___x___ ,
3059  /*01E2*/ GENx___x___x___ ,
3060  /*01E3*/ GENx___x___x___ ,
3061  /*01E4*/ GENx___x___x___ ,
3062  /*01E5*/ GENx___x___x___ ,
3063  /*01E6*/ GENx___x___x___ ,
3064  /*01E7*/ GENx___x___x___ ,
3065  /*01E8*/ GENx___x___x___ ,
3066  /*01E9*/ GENx___x___x___ ,
3067  /*01EA*/ GENx___x___x___ ,
3068  /*01EB*/ GENx___x___x___ ,
3069  /*01EC*/ GENx___x___x___ ,
3070  /*01ED*/ GENx___x___x___ ,
3071  /*01EE*/ GENx___x___x___ ,
3072  /*01EF*/ GENx___x___x___ ,
3073  /*01F0*/ GENx___x___x___ ,
3074  /*01F1*/ GENx___x___x___ ,
3075  /*01F2*/ GENx___x___x___ ,
3076  /*01F3*/ GENx___x___x___ ,
3077  /*01F4*/ GENx___x___x___ ,
3078  /*01F5*/ GENx___x___x___ ,
3079  /*01F6*/ GENx___x___x___ ,
3080  /*01F7*/ GENx___x___x___ ,
3081  /*01F8*/ GENx___x___x___ ,
3082  /*01F9*/ GENx___x___x___ ,
3083  /*01FA*/ GENx___x___x___ ,
3084  /*01FB*/ GENx___x___x___ ,
3085  /*01FC*/ GENx___x___x___ ,
3086  /*01FD*/ GENx___x___x___ ,
3087  /*01FE*/ GENx___x___x___ ,
3088  /*01FF*/ GENx___x390x900 (trap2,E,"TRAP2") };
3089 
3090 
3091 // #if defined(FEATURE_ESAME)
3092 
3093 DLL_EXPORT zz_func opcode_a4xx[256][GEN_MAXARCH] = {
3094  /*A400*/ GENx___x___x___ ,
3095  /*A401*/ GENx___x___x___ ,
3096  /*A402*/ GENx___x___x___ ,
3097  /*A403*/ GENx___x___x___ ,
3098  /*A404*/ GENx___x___x___ ,
3099  /*A405*/ GENx___x___x___ ,
3100  /*A406*/ GENx___x___x___ ,
3101  /*A407*/ GENx___x___x___ ,
3102  /*A408*/ GENx___x___x___ ,
3103  /*A409*/ GENx___x___x___ ,
3104  /*A40A*/ GENx___x___x___ ,
3105  /*A40B*/ GENx___x___x___ ,
3106  /*A40C*/ GENx___x___x___ ,
3107  /*A40D*/ GENx___x___x___ ,
3108  /*A40E*/ GENx___x___x___ ,
3109  /*A40F*/ GENx___x___x___ ,
3110  /*A410*/ GENx___x___x___ ,
3111  /*A411*/ GENx___x___x___ ,
3112  /*A412*/ GENx___x___x___ ,
3113  /*A413*/ GENx___x___x___ ,
3114  /*A414*/ GENx___x___x___ ,
3115  /*A415*/ GENx___x___x___ ,
3116  /*A416*/ GENx___x___x___ ,
3117  /*A417*/ GENx___x___x___ ,
3118  /*A418*/ GENx___x___x___ ,
3119  /*A419*/ GENx___x___x___ ,
3120  /*A41A*/ GENx___x___x___ ,
3121  /*A41B*/ GENx___x___x___ ,
3122  /*A41C*/ GENx___x___x___ ,
3123  /*A41D*/ GENx___x___x___ ,
3124  /*A41E*/ GENx___x___x___ ,
3125  /*A41F*/ GENx___x___x___ ,
3126  /*A420*/ GENx___x___x___ ,
3127  /*A421*/ GENx___x___x___ ,
3128  /*A422*/ GENx___x___x___ ,
3129  /*A423*/ GENx___x___x___ ,
3130  /*A424*/ GENx___x___x___ ,
3131  /*A425*/ GENx___x___x___ ,
3132  /*A426*/ GENx___x___x___ ,
3133  /*A427*/ GENx___x___x___ ,
3134  /*A428*/ GENx___x___x___ ,
3135  /*A429*/ GENx___x___x___ ,
3136  /*A42A*/ GENx___x___x___ ,
3137  /*A42B*/ GENx___x___x___ ,
3138  /*A42C*/ GENx___x___x___ ,
3139  /*A42D*/ GENx___x___x___ ,
3140  /*A42E*/ GENx___x___x___ ,
3141  /*A42F*/ GENx___x___x___ ,
3142  /*A430*/ GENx___x___x___ ,
3143  /*A431*/ GENx___x___x___ ,
3144  /*A432*/ GENx___x___x___ ,
3145  /*A433*/ GENx___x___x___ ,
3146  /*A434*/ GENx___x___x___ ,
3147  /*A435*/ GENx___x___x___ ,
3148  /*A436*/ GENx___x___x___ ,
3149  /*A437*/ GENx___x___x___ ,
3150  /*A438*/ GENx___x___x___ ,
3151  /*A439*/ GENx___x___x___ ,
3152  /*A43A*/ GENx___x___x___ ,
3153  /*A43B*/ GENx___x___x___ ,
3154  /*A43C*/ GENx___x___x___ ,
3155  /*A43D*/ GENx___x___x___ ,
3156  /*A43E*/ GENx___x___x___ ,
3157  /*A43F*/ GENx___x___x___ ,
3158  /*A440*/ GENx___x___x___ ,
3159  /*A441*/ GENx___x___x___ ,
3160  /*A442*/ GENx___x___x___ ,
3161  /*A443*/ GENx___x___x___ ,
3162  /*A444*/ GENx___x___x___ ,
3163  /*A445*/ GENx___x___x___ ,
3164  /*A446*/ GENx___x___x___ ,
3165  /*A447*/ GENx___x___x___ ,
3166  /*A448*/ GENx___x___x___ ,
3167  /*A449*/ GENx___x___x___ ,
3168  /*A44A*/ GENx___x___x___ ,
3169  /*A44B*/ GENx___x___x___ ,
3170  /*A44C*/ GENx___x___x___ ,
3171  /*A44D*/ GENx___x___x___ ,
3172  /*A44E*/ GENx___x___x___ ,
3173  /*A44F*/ GENx___x___x___ ,
3174  /*A450*/ GENx___x___x___ ,
3175  /*A451*/ GENx___x___x___ ,
3176  /*A452*/ GENx___x___x___ ,
3177  /*A453*/ GENx___x___x___ ,
3178  /*A454*/ GENx___x___x___ ,
3179  /*A455*/ GENx___x___x___ ,
3180  /*A456*/ GENx___x___x___ ,
3181  /*A457*/ GENx___x___x___ ,
3182  /*A458*/ GENx___x___x___ ,
3183  /*A459*/ GENx___x___x___ ,
3184  /*A45A*/ GENx___x___x___ ,
3185  /*A45B*/ GENx___x___x___ ,
3186  /*A45C*/ GENx___x___x___ ,
3187  /*A45D*/ GENx___x___x___ ,
3188  /*A45E*/ GENx___x___x___ ,
3189  /*A45F*/ GENx___x___x___ ,
3190  /*A460*/ GENx___x___x___ ,
3191  /*A461*/ GENx___x___x___ ,
3192  /*A462*/ GENx___x___x___ ,
3193  /*A463*/ GENx___x___x___ ,
3194  /*A464*/ GENx___x___x___ ,
3195  /*A465*/ GENx___x___x___ ,
3196  /*A466*/ GENx___x___x___ ,
3197  /*A467*/ GENx___x___x___ ,
3198  /*A468*/ GENx___x___x___ ,
3199  /*A469*/ GENx___x___x___ ,
3200  /*A46A*/ GENx___x___x___ ,
3201  /*A46B*/ GENx___x___x___ ,
3202  /*A46C*/ GENx___x___x___ ,
3203  /*A46D*/ GENx___x___x___ ,
3204  /*A46E*/ GENx___x___x___ ,
3205  /*A46F*/ GENx___x___x___ ,
3206  /*A470*/ GENx___x___x___ ,
3207  /*A471*/ GENx___x___x___ ,
3208  /*A472*/ GENx___x___x___ ,
3209  /*A473*/ GENx___x___x___ ,
3210  /*A474*/ GENx___x___x___ ,
3211  /*A475*/ GENx___x___x___ ,
3212  /*A476*/ GENx___x___x___ ,
3213  /*A477*/ GENx___x___x___ ,
3214  /*A478*/ GENx___x___x___ ,
3215  /*A479*/ GENx___x___x___ ,
3216  /*A47A*/ GENx___x___x___ ,
3217  /*A47B*/ GENx___x___x___ ,
3218  /*A47C*/ GENx___x___x___ ,
3219  /*A47D*/ GENx___x___x___ ,
3220  /*A47E*/ GENx___x___x___ ,
3221  /*A47F*/ GENx___x___x___ ,
3222  /*A480*/ GENx___x___x___ ,
3223  /*A481*/ GENx___x___x___ ,
3224  /*A482*/ GENx___x___x___ ,
3225  /*A483*/ GENx___x___x___ ,
3226  /*A484*/ GENx___x___x___ ,
3227  /*A485*/ GENx___x___x___ ,
3228  /*A486*/ GENx___x___x___ ,
3229  /*A487*/ GENx___x___x___ ,
3230  /*A488*/ GENx___x___x___ ,
3231  /*A489*/ GENx___x___x___ ,
3232  /*A48A*/ GENx___x___x___ ,
3233  /*A48B*/ GENx___x___x___ ,
3234  /*A48C*/ GENx___x___x___ ,
3235  /*A48D*/ GENx___x___x___ ,
3236  /*A48E*/ GENx___x___x___ ,
3237  /*A48F*/ GENx___x___x___ ,
3238  /*A490*/ GENx___x___x___ ,
3239  /*A491*/ GENx___x___x___ ,
3240  /*A492*/ GENx___x___x___ ,
3241  /*A493*/ GENx___x___x___ ,
3242  /*A494*/ GENx___x___x___ ,
3243  /*A495*/ GENx___x___x___ ,
3244  /*A496*/ GENx___x___x___ ,
3245  /*A497*/ GENx___x___x___ ,
3246  /*A498*/ GENx___x___x___ ,
3247  /*A499*/ GENx___x___x___ ,
3248  /*A49A*/ GENx___x___x___ ,
3249  /*A49B*/ GENx___x___x___ ,
3250  /*A49C*/ GENx___x___x___ ,
3251  /*A49D*/ GENx___x___x___ ,
3252  /*A49E*/ GENx___x___x___ ,
3253  /*A49F*/ GENx___x___x___ ,
3254  /*A4A0*/ GENx___x___x___ ,
3255  /*A4A1*/ GENx___x___x___ ,
3256  /*A4A2*/ GENx___x___x___ ,
3257  /*A4A3*/ GENx___x___x___ ,
3258  /*A4A4*/ GENx___x___x___ ,
3259  /*A4A5*/ GENx___x___x___ ,
3260  /*A4A6*/ GENx___x___x___ ,
3261  /*A4A7*/ GENx___x___x___ ,
3262  /*A4A8*/ GENx___x___x___ ,
3263  /*A4A9*/ GENx___x___x___ ,
3264  /*A4AA*/ GENx___x___x___ ,
3265  /*A4AB*/ GENx___x___x___ ,
3266  /*A4AC*/ GENx___x___x___ ,
3267  /*A4AD*/ GENx___x___x___ ,
3268  /*A4AE*/ GENx___x___x___ ,
3269  /*A4AF*/ GENx___x___x___ ,
3270  /*A4B0*/ GENx___x___x___ ,
3271  /*A4B1*/ GENx___x___x___ ,
3272  /*A4B2*/ GENx___x___x___ ,
3273  /*A4B3*/ GENx___x___x___ ,
3274  /*A4B4*/ GENx___x___x___ ,
3275  /*A4B5*/ GENx___x___x___ ,
3276  /*A4B6*/ GENx___x___x___ ,
3277  /*A4B7*/ GENx___x___x___ ,
3278  /*A4B8*/ GENx___x___x___ ,
3279  /*A4B9*/ GENx___x___x___ ,
3280  /*A4BA*/ GENx___x___x___ ,
3281  /*A4BB*/ GENx___x___x___ ,
3282  /*A4BC*/ GENx___x___x___ ,
3283  /*A4BD*/ GENx___x___x___ ,
3284  /*A4BE*/ GENx___x___x___ ,
3285  /*A4BF*/ GENx___x___x___ ,
3286  /*A4C0*/ GENx___x___x___ ,
3287  /*A4C1*/ GENx___x___x___ ,
3288  /*A4C2*/ GENx___x___x___ ,
3289  /*A4C3*/ GENx___x___x___ ,
3290  /*A4C4*/ GENx___x___x___ ,
3291  /*A4C5*/ GENx___x___x___ ,
3292  /*A4C6*/ GENx___x___x___ ,
3293  /*A4C7*/ GENx___x___x___ ,
3294  /*A4C8*/ GENx___x___x___ ,
3295  /*A4C9*/ GENx___x___x___ ,
3296  /*A4CA*/ GENx___x___x___ ,
3297  /*A4CB*/ GENx___x___x___ ,
3298  /*A4CC*/ GENx___x___x___ ,
3299  /*A4CD*/ GENx___x___x___ ,
3300  /*A4CE*/ GENx___x___x___ ,
3301  /*A4CF*/ GENx___x___x___ ,
3302  /*A4D0*/ GENx___x___x___ ,
3303  /*A4D1*/ GENx___x___x___ ,
3304  /*A4D2*/ GENx___x___x___ ,
3305  /*A4D3*/ GENx___x___x___ ,
3306  /*A4D4*/ GENx___x___x___ ,
3307  /*A4D5*/ GENx___x___x___ ,
3308  /*A4D6*/ GENx___x___x___ ,
3309  /*A4D7*/ GENx___x___x___ ,
3310  /*A4D8*/ GENx___x___x___ ,
3311  /*A4D9*/ GENx___x___x___ ,
3312  /*A4DA*/ GENx___x___x___ ,
3313  /*A4DB*/ GENx___x___x___ ,
3314  /*A4DC*/ GENx___x___x___ ,
3315  /*A4DD*/ GENx___x___x___ ,
3316  /*A4DE*/ GENx___x___x___ ,
3317  /*A4DF*/ GENx___x___x___ ,
3318  /*A4E0*/ GENx___x___x___ ,
3319  /*A4E1*/ GENx___x___x___ ,
3320  /*A4E2*/ GENx___x___x___ ,
3321  /*A4E3*/ GENx___x___x___ ,
3322  /*A4E4*/ GENx___x___x___ ,
3323  /*A4E5*/ GENx___x___x___ ,
3324  /*A4E6*/ GENx___x___x___ ,
3325  /*A4E7*/ GENx___x___x___ ,
3326  /*A4E8*/ GENx___x___x___ ,
3327  /*A4E9*/ GENx___x___x___ ,
3328  /*A4EA*/ GENx___x___x___ ,
3329  /*A4EB*/ GENx___x___x___ ,
3330  /*A4EC*/ GENx___x___x___ ,
3331  /*A4ED*/ GENx___x___x___ ,
3332  /*A4EE*/ GENx___x___x___ ,
3333  /*A4EF*/ GENx___x___x___ ,
3334  /*A4F0*/ GENx___x___x___ ,
3335  /*A4F1*/ GENx___x___x___ ,
3336  /*A4F2*/ GENx___x___x___ ,
3337  /*A4F3*/ GENx___x___x___ ,
3338  /*A4F4*/ GENx___x___x___ ,
3339  /*A4F5*/ GENx___x___x___ ,
3340  /*A4F6*/ GENx___x___x___ ,
3341  /*A4F7*/ GENx___x___x___ ,
3342  /*A4F8*/ GENx___x___x___ ,
3343  /*A4F9*/ GENx___x___x___ ,
3344  /*A4FA*/ GENx___x___x___ ,
3345  /*A4FB*/ GENx___x___x___ ,
3346  /*A4FC*/ GENx___x___x___ ,
3347  /*A4FD*/ GENx___x___x___ ,
3348  /*A4FE*/ GENx___x___x___ ,
3349  /*A4FF*/ GENx___x___x___  };
3350 
3351 // #endif /*defined(FEATURE_ESAME)*/
3352 
3353 // #if defined(FEATURE_ESAME)
3354 
3355 DLL_EXPORT zz_func opcode_a5xx[16][GEN_MAXARCH] = {
3356  /*A5x0*/ GENx___x___x900 (insert_immediate_high_high,RI,"IIHH"),
3357  /*A5x1*/ GENx___x___x900 (insert_immediate_high_low,RI,"IIHL"),
3358  /*A5x2*/ GENx___x___x900 (insert_immediate_low_high,RI,"IILH"),
3359  /*A5x3*/ GENx___x___x900 (insert_immediate_low_low,RI,"IILL"),
3360  /*A5x4*/ GENx___x___x900 (and_immediate_high_high,RI,"NIHH"),
3361  /*A5x5*/ GENx___x___x900 (and_immediate_high_low,RI,"NIHL"),
3362  /*A5x6*/ GENx___x___x900 (and_immediate_low_high,RI,"NILH"),
3363  /*A5x7*/ GENx___x___x900 (and_immediate_low_low,RI,"NILL"),
3364  /*A5x8*/ GENx___x___x900 (or_immediate_high_high,RI,"OIHH"),
3365  /*A5x9*/ GENx___x___x900 (or_immediate_high_low,RI,"OIHL"),
3366  /*A5xA*/ GENx___x___x900 (or_immediate_low_high,RI,"OILH"),
3367  /*A5xB*/ GENx___x___x900 (or_immediate_low_low,RI,"OILL"),
3368  /*A5xC*/ GENx___x___x900 (load_logical_immediate_high_high,RI,"LLIHH"),
3369  /*A5xD*/ GENx___x___x900 (load_logical_immediate_high_low,RI,"LLIHL"),
3370  /*A5xE*/ GENx___x___x900 (load_logical_immediate_low_high,RI,"LLILH"),
3371  /*A5xF*/ GENx___x___x900 (load_logical_immediate_low_low,RI,"LLILL") } ;
3372 
3373 // #endif /*defined(FEATURE_ESAME)*/
3374 
3375 DLL_EXPORT zz_func opcode_a7xx[16][GEN_MAXARCH] = {
3376  /*A7x0*/ GENx37Xx390x900 (test_under_mask_high,RI,"TMLH"),
3377  /*A7x1*/ GENx37Xx390x900 (test_under_mask_low,RI,"TMLL"),
3378  /*A7x2*/ GENx___x___x900 (test_under_mask_high_high,RI,"TMHH"),
3379  /*A7x3*/ GENx___x___x900 (test_under_mask_high_low,RI,"TMHL"),
3380  /*A7x4*/ GENx37Xx390x900 (branch_relative_on_condition,RI_B,"BRC"),
3381  /*A7x5*/ GENx37Xx390x900 (branch_relative_and_save,RI_B,"BRAS"),
3382  /*A7x6*/ GENx37Xx390x900 (branch_relative_on_count,RI_B,"BRCT"),
3383  /*A7x7*/ GENx___x___x900 (branch_relative_on_count_long,RI_B,"BRCTG"),
3384  /*A7x8*/ GENx37Xx390x900 (load_halfword_immediate,RI,"LHI"),
3385  /*A7x9*/ GENx___x___x900 (load_long_halfword_immediate,RI,"LGHI"),
3386  /*A7xA*/ GENx37Xx390x900 (add_halfword_immediate,RI,"AHI"),
3387  /*A7xB*/ GENx___x___x900 (add_long_halfword_immediate,RI,"AGHI"),
3388  /*A7xC*/ GENx37Xx390x900 (multiply_halfword_immediate,RI,"MHI"),
3389  /*A7xD*/ GENx___x___x900 (multiply_long_halfword_immediate,RI,"MGHI"),
3390  /*A7xE*/ GENx37Xx390x900 (compare_halfword_immediate,RI,"CHI"),
3391  /*A7xF*/ GENx___x___x900 (compare_long_halfword_immediate,RI,"CGHI") };
3392 
3393 
3394 DLL_EXPORT zz_func opcode_b2xx[256][GEN_MAXARCH] = {
3395  /*B200*/ GENx370x___x___ (connect_channel_set,S,"CONCS"),
3396  /*B201*/ GENx370x___x___ (disconnect_channel_set,S,"DISCS"),
3397  /*B202*/ GENx370x390x900 (store_cpu_id,S,"STIDP"),
3398  /*B203*/ GENx370x___x___ (store_channel_id,S,"STIDC"),
3399  /*B204*/ GENx370x390x900 (set_clock,S,"SCK"),
3400  /*B205*/ GENx370x390x900 (store_clock,S,"STCK"),
3401  /*B206*/ GENx370x390x900 (set_clock_comparator,S,"SCKC"),
3402  /*B207*/ GENx370x390x900 (store_clock_comparator,S,"STCKC"),
3403  /*B208*/ GENx370x390x900 (set_cpu_timer,S,"SPT"),
3404  /*B209*/ GENx370x390x900 (store_cpu_timer,S,"STPT"),
3405  /*B20A*/ GENx370x390x900 (set_psw_key_from_address,S,"SPKA"),
3406  /*B20B*/ GENx370x390x900 (insert_psw_key,none,"IPK"),
3407  /*B20C*/ GENx___x___x___ ,
3408  /*B20D*/ GENx370x390x900 (purge_translation_lookaside_buffer,none,"PTLB"),
3409  /*B20E*/ GENx___x___x___ ,
3410  /*B20F*/ GENx___x___x___ ,
3411  /*B210*/ GENx370x390x900 (set_prefix,S,"SPX"),
3412  /*B211*/ GENx370x390x900 (store_prefix,S,"STPX"),
3413  /*B212*/ GENx370x390x900 (store_cpu_address,S,"STAP"),
3414  /*B213*/ GENx370x___x___ (reset_reference_bit,S,"RRB"),
3415  /*B214*/ GENx___x390x900 (start_interpretive_execution,S,"SIE"),
3416  /*B215*/ GENx___x___x___ ,
3417  /*B216*/ GENx___x___x___ ,                                     /*%SETR/SSYN */
3418  /*B217*/ GENx___x___x___ ,                                   /*%STETR/STSYN */
3419  /*B218*/ GENx370x390x900 (program_call,S,"PC"),
3420  /*B219*/ GENx370x390x900 (set_address_space_control,S,"SAC"),
3421  /*B21A*/ GENx___x390x900 (compare_and_form_codeword,S,"CFC"),
3422  /*B21B*/ GENx___x___x___ ,
3423  /*B21C*/ GENx___x___x___ ,
3424  /*B21D*/ GENx___x___x___ ,
3425  /*B21E*/ GENx___x___x___ ,
3426  /*B21F*/ GENx___x___x___ ,
3427  /*B220*/ GENx___x390x900 (service_call,RRE,"SERVC"),
3428  /*B221*/ GENx370x390x900 (invalidate_page_table_entry,RRR,"IPTE"),
3429  /*B222*/ GENx370x390x900 (insert_program_mask,RRE_R1,"IPM"),
3430  /*B223*/ GENx370x390x900 (insert_virtual_storage_key,RRE,"IVSK"),
3431  /*B224*/ GENx370x390x900 (insert_address_space_control,RRE_R1,"IAC"),
3432  /*B225*/ GENx370x390x900 (set_secondary_asn,RRE_R1,"SSAR"),
3433  /*B226*/ GENx370x390x900 (extract_primary_asn,RRE_R1,"EPAR"),
3434  /*B227*/ GENx370x390x900 (extract_secondary_asn,RRE_R1,"ESAR"),
3435  /*B228*/ GENx370x390x900 (program_transfer,RRE,"PT"),
3436  /*B229*/ GENx370x390x900 (insert_storage_key_extended,RRE,"ISKE"),
3437  /*B22A*/ GENx370x390x900 (reset_reference_bit_extended,RRE,"RRBE"),
3438  /*B22B*/ GENx370x390x900 (set_storage_key_extended,RRF_M,"SSKE"),
3439  /*B22C*/ GENx370x390x900 (test_block,RRE,"TB"),
3440  /*B22D*/ GENx370x390x900 (divide_float_ext_reg,RRE,"DXR"),
3441  /*B22E*/ GENx___x390x900 (page_in,RRE,"PGIN"),
3442  /*B22F*/ GENx___x390x900 (page_out,RRE,"PGOUT"),
3443  /*B230*/ GENx___x390x900 (clear_subchannel,none,"CSCH"),
3444  /*B231*/ GENx___x390x900 (halt_subchannel,none,"HSCH"),
3445  /*B232*/ GENx___x390x900 (modify_subchannel,S,"MSCH"),
3446  /*B233*/ GENx___x390x900 (start_subchannel,S,"SSCH"),
3447  /*B234*/ GENx___x390x900 (store_subchannel,S,"STSCH"),
3448  /*B235*/ GENx___x390x900 (test_subchannel,S,"TSCH"),
3449  /*B236*/ GENx___x390x900 (test_pending_interruption,S,"TPI"),
3450  /*B237*/ GENx___x390x900 (set_address_limit,none,"SAL"),
3451  /*B238*/ GENx___x390x900 (resume_subchannel,none,"RSCH"),
3452  /*B239*/ GENx___x390x900 (store_channel_report_word,S,"STCRW"),
3453  /*B23A*/ GENx___x390x900 (store_channel_path_status,S,"STCPS"),
3454  /*B23B*/ GENx___x390x900 (reset_channel_path,none,"RCHP"),
3455  /*B23C*/ GENx___x390x900 (set_channel_monitor,none,"SCHM"),
3456  /*B23D*/ GENx___x390x900 (store_zone_parameter,S,"STZP"),
3457  /*B23E*/ GENx___x390x900 (set_zone_parameter,S,"SZP"),
3458  /*B23F*/ GENx___x390x900 (test_pending_zone_interrupt,S,"TPZI"),
3459  /*B240*/ GENx___x390x900 (branch_and_stack,RRE,"BAKR"),
3460  /*B241*/ GENx37Xx390x900 (checksum,RRE,"CKSM"),
3461  /*B242*/ GENx___x___x___ ,                                     /**Add FRR   */
3462  /*B243*/ GENx___x___x___ ,                                     /*#MA        */
3463  /*B244*/ GENx37Xx390x900 (squareroot_float_long_reg,RRE,"SQDR"),
3464  /*B245*/ GENx37Xx390x900 (squareroot_float_short_reg,RRE,"SQER"),
3465  /*B246*/ GENx___x390x900 (store_using_real_address,RRE,"STURA"),
3466  /*B247*/ GENx___x390x900 (modify_stacked_state,RRE_R1,"MSTA"),
3467  /*B248*/ GENx___x390x900 (purge_accesslist_lookaside_buffer,none,"PALB"),
3468  /*B249*/ GENx___x390x900 (extract_stacked_registers,RRE,"EREG"),
3469  /*B24A*/ GENx___x390x900 (extract_stacked_state,RRE,"ESTA"),
3470  /*B24B*/ GENx___x390x900 (load_using_real_address,RRE,"LURA"),
3471  /*B24C*/ GENx___x390x900 (test_access,RRE,"TAR"),
3472  /*B24D*/ GENx___x390x900 (copy_access,RRE,"CPYA"),
3473  /*B24E*/ GENx___x390x900 (set_access_register,RRE,"SAR"),
3474  /*B24F*/ GENx___x390x900 (extract_access_register,RRE,"EAR"),
3475  /*B250*/ GENx___x390x900 (compare_and_swap_and_purge,RRE,"CSP"),
3476  /*B251*/ GENx___x___x___ ,
3477  /*B252*/ GENx37Xx390x900 (multiply_single_register,RRE,"MSR"),
3478  /*B253*/ GENx___x___x___ ,
3479  /*B254*/ GENx___x390x900 (move_page,RRE,"MVPG"),
3480  /*B255*/ GENx37Xx390x900 (move_string,RRE,"MVST"),
3481  /*B256*/ GENx___x___x___ ,
3482  /*B257*/ GENx37Xx390x900 (compare_until_substring_equal,RRE,"CUSE"),
3483  /*B258*/ GENx___x390x900 (branch_in_subspace_group,RRE,"BSG"),
3484  /*B259*/ GENx___x390x900 (invalidate_expanded_storage_block_entry,RRE,"IESBE"),
3485  /*B25A*/ GENx___x390x900 (branch_and_set_authority,RRE,"BSA"),
3486  /*B25B*/ GENx___x___x___ ,                                     /*%PGXIN     */
3487  /*B25C*/ GENx___x___x___ ,                                     /*%PGXOUT    */
3488  /*B25D*/ GENx37Xx390x900 (compare_logical_string,RRE,"CLST"),
3489  /*B25E*/ GENx37Xx390x900 (search_string,RRE,"SRST"),
3490  /*B25F*/ GENx___x390x900 (channel_subsystem_call,RRE,"CHSC"),
3491  /*B260*/ GENx___x___x___ ,                                     /* Sysplex   */
3492  /*B261*/ GENx___x___x___ ,                                     /* Sysplex   */
3493  /*B262*/ GENx___x390x900 (lock_page,RRE,"LKPG"),
3494  /*B263*/ GENx37Xx390x900 (compression_call,RRE,"CMPSC"),
3495  /*B264*/ GENx___x___x___ ,                                     /* Sysplex   */
3496  /*B265*/ GENx___x___x___ , /*(set_vector_summary,?,"SVS"),*/   /* Sysplex   */
3497  /*B266*/ GENx___x___x___ ,                                     /* Sysplex   */
3498  /*B267*/ GENx___x___x___ ,                                     /* Sysplex   */
3499  /*B268*/ GENx___x___x___ , /*(define_vector,?,"DV"),*/         /* Sysplex   */
3500  /*B269*/ GENx___x___x___ ,                                     /* Crypto    */
3501  /*B26A*/ GENx___x___x___ ,                                     /* Crypto    */
3502  /*B26B*/ GENx___x___x___ ,                                     /* Crypto    */
3503  /*B26C*/ GENx___x___x___ ,                                     /* Crypto    */
3504  /*B26D*/ GENx___x___x___ ,                                     /* Crypto    */
3505  /*B26E*/ GENx___x___x___ ,                                     /* Crypto    */
3506  /*B26F*/ GENx___x___x___ ,                                     /* Crypto    */
3507  /*B270*/ GENx___x___x___ ,                                     /*%SPCS      */
3508  /*B271*/ GENx___x___x___ ,                                     /*%STPCS     */
3509  /*B272*/ GENx___x___x___ ,                                     /* Sysplex   */
3510  /*B273*/ GENx___x___x___ ,
3511  /*B274*/ GENx___x390x900 (signal_adapter,S,"SIGA"),
3512  /*B275*/ GENx___x___x___ ,
3513  /*B276*/ GENx___x390x900 (cancel_subchannel,none,"XSCH"),
3514  /*B277*/ GENx___x390x900 (resume_program,S,"RP"),
3515  /*B278*/ GENx___x390x900 (store_clock_extended,S,"STCKE"),
3516  /*B279*/ GENx___x390x900 (set_address_space_control_fast,S,"SACF"),
3517  /*B27A*/ GENx___x___x___ ,                                     /* Sysplex   */
3518  /*B27B*/ GENx___x___x___ ,                                     /* TFF/Sysplx*/
3519  /*B27C*/ GENx___x___x900 (store_clock_fast,S,"STCKF"),
3520  /*B27D*/ GENx370x390x900 (store_system_information,S,"STSI"),
3521  /*B27E*/ GENx___x___x___ ,                                     /* Sysplex   */
3522  /*B27F*/ GENx___x___x___ ,                                     /* Sysplex   */
3523  /*B280*/ GENx___x___x900 (load_program_parameter,S,"LPP"),     /* LPPF      */
3524  /*B281*/ GENx___x___x___ ,                                     /*#LN S      */
3525  /*B282*/ GENx___x___x___ ,                                     /*#EXP L     */
3526  /*B283*/ GENx___x___x___ ,                                     /*#EXP S     */
3527  /*B284*/ GENx___x___x900 (load_cpu_counter_set_controls,S,"LCCTL"),        /*  CMCF */
3528  /*B285*/ GENx___x___x900 (load_peripheral_counter_set_controls,S,"LPCTL"), /*  CMCF */
3529  /*B286*/ GENx___x___x900 (query_sampling_information,S,"QSI"),             /*  CMCF */
3530  /*B287*/ GENx___x___x900 (load_sampling_controls,S,"LSCTL"),               /*  CMCF */
3531  /*B288*/ GENx___x___x___ ,                                     /*#SIN L     */
3532  /*B289*/ GENx___x___x___ ,                                     /*#SIN S     */
3533  /*B28A*/ GENx___x___x___ ,                                     /*#COS L     */
3534  /*B28B*/ GENx___x___x___ ,                                     /*#COS S     */
3535  /*B28C*/ GENx___x___x___ ,
3536  /*B28D*/ GENx___x___x___ ,
3537  /*B28E*/ GENx___x___x900 (query_counter_information,S,"QCTRI"),            /*  CMCF */
3538  /*B28F*/ GENx___x___x___ ,
3539  /*B290*/ GENx___x___x___ ,
3540  /*B291*/ GENx___x___x___ ,
3541  /*B292*/ GENx___x___x___ ,
3542  /*B293*/ GENx___x___x___ ,
3543  /*B294*/ GENx___x___x___ ,                                     /*#ARCTAN L  */
3544  /*B295*/ GENx___x___x___ ,                                     /*#ARCTAN S  */
3545  /*B296*/ GENx___x___x___ ,
3546  /*B297*/ GENx___x___x___ ,
3547  /*B298*/ GENx___x___x___ ,
3548  /*B299*/ GENx37Xx390x900 (set_bfp_rounding_mode_2bit,S,"SRNM"),
3549  /*B29A*/ GENx___x___x___ ,
3550  /*B29B*/ GENx___x___x___ ,
3551  /*B29C*/ GENx37Xx390x900 (store_fpc,S,"STFPC"),
3552  /*B29D*/ GENx37Xx390x900 (load_fpc,S,"LFPC"),
3553  /*B29E*/ GENx___x___x___ ,
3554  /*B29F*/ GENx___x___x___ ,
3555  /*B2A0*/ GENx___x___x___ ,
3556  /*B2A1*/ GENx___x___x___ ,
3557  /*B2A2*/ GENx___x___x___ ,
3558  /*B2A3*/ GENx___x___x___ ,
3559  /*B2A4*/ GENx___x___x___ , /*(move_channel_buffer_data_multiple,?,"MCBDM"),*//*Sysplex*/
3560  /*B2A5*/ GENx37Xx390x900 (translate_extended,RRE,"TRE"),
3561  /*B2A6*/ GENx37Xx390x900 (convert_utf16_to_utf8,RRF_M3,"CU21 (CUUTF)"),
3562  /*B2A7*/ GENx37Xx390x900 (convert_utf8_to_utf16,RRF_M3,"CU12 (CUTFU)"),
3563  /*B2A8*/ GENx___x___x___ ,                                     /* Sysplex   */
3564  /*B2A9*/ GENx___x___x___ ,
3565  /*B2AA*/ GENx___x___x___ ,
3566  /*B2AB*/ GENx___x___x___ ,
3567  /*B2AC*/ GENx___x___x___ ,
3568  /*B2AD*/ GENx___x___x___ ,
3569  /*B2AE*/ GENx___x___x___ ,
3570  /*B2AF*/ GENx___x___x___ ,
3571  /*B2B0*/ GENx___x390x900 (store_facility_list_extended,S,"STFLE"), /*!SARCH */    /*@Z9*/
3572  /*B2B1*/ GENx___x390x900 (store_facility_list,S,"STFL"),
3573  /*B2B2*/ GENx___x___x900 (load_program_status_word_extended,S,"LPSWE"),
3574  /*B2B3*/ GENx___x___x___ , /*(store_etr_attachment_information,?,"STEAI"),*/
3575  /*B2B4*/ GENx___x___x___ ,
3576  /*B2B5*/ GENx___x___x___ ,
3577  /*B2B6*/ GENx___x___x___ ,
3578  /*B2B7*/ GENx___x___x___ ,
3579  /*B2B8*/ GENx37Xx390x900 (set_bfp_rounding_mode_3bit,S,"SRNMB"),                  /*810*/
3580  /*B2B9*/ GENx___x390x900 (set_dfp_rounding_mode,S,"SRNMT"),
3581  /*B2BA*/ GENx___x___x___ ,
3582  /*B2BB*/ GENx___x___x___ ,
3583  /*B2BC*/ GENx___x___x___ ,
3584  /*B2BD*/ GENx37Xx390x900 (load_fpc_and_signal,S,"LFAS"),
3585  /*B2BE*/ GENx___x___x___ ,
3586  /*B2BF*/ GENx___x___x___ ,
3587  /*B2C0*/ GENx___x___x___ ,                                     /*$ADRN      */
3588  /*B2C1*/ GENx___x___x___ ,                                     /*$AERN      */
3589  /*B2C2*/ GENx___x___x___ ,                                     /*$SDRN      */
3590  /*B2C3*/ GENx___x___x___ ,                                     /*$SERN      */
3591  /*B2C4*/ GENx___x___x___ ,                                     /*$MDRN      */
3592  /*B2C5*/ GENx___x___x___ ,                                     /*$MERN      */
3593  /*B2C6*/ GENx___x___x___ ,                                     /*$DDRN      */
3594  /*B2C7*/ GENx___x___x___ ,                                     /*$DERN      */
3595  /*B2C8*/ GENx___x___x___ ,                                     /*$LERN      */
3596  /*B2C9*/ GENx___x___x___ ,
3597  /*B2CA*/ GENx___x___x___ ,
3598  /*B2CB*/ GENx___x___x___ ,
3599  /*B2CC*/ GENx___x___x___ ,
3600  /*B2CD*/ GENx___x___x___ ,
3601  /*B2CE*/ GENx___x___x___ ,
3602  /*B2CF*/ GENx___x___x___ ,
3603  /*B2D0*/ GENx___x___x___ ,                                     /*$AACDR     */
3604  /*B2D1*/ GENx___x___x___ ,                                     /*$AACER     */
3605  /*B2D2*/ GENx___x___x___ ,                                     /*$SACDR     */
3606  /*B2D3*/ GENx___x___x___ ,                                     /*$SACER     */
3607  /*B2D4*/ GENx___x___x___ ,                                     /*$MACD      */
3608  /*B2D5*/ GENx___x___x___ ,
3609  /*B2D6*/ GENx___x___x___ ,                                     /*$RACD      */
3610  /*B2D7*/ GENx___x___x___ ,                                     /*$RACE      */
3611  /*B2D8*/ GENx___x___x___ ,                                     /*$AACAC     */
3612  /*B2D9*/ GENx___x___x___ ,                                     /*$SACAC     */
3613  /*B2DA*/ GENx___x___x___ ,                                     /*$CLAC      */
3614  /*B2DB*/ GENx___x___x___ ,
3615  /*B2DC*/ GENx___x___x___ ,
3616  /*B2DD*/ GENx___x___x___ ,
3617  /*B2DE*/ GENx___x___x___ ,
3618  /*B2DF*/ GENx___x___x___ ,
3619  /*B2E0*/ GENx___x___x900 (set_cpu_counter,RRE,"SCCTR"),                /*  CMCF */
3620  /*B2E1*/ GENx___x___x900 (set_peripheral_counter,RRE,"SPCTR"),         /*  CMCF */
3621  /*B2E2*/ GENx___x___x___ ,
3622  /*B2E3*/ GENx___x___x___ ,
3623  /*B2E4*/ GENx___x___x900 (extract_cpu_counter,RRE,"ECCTR"),            /*  CMCF */
3624  /*B2E5*/ GENx___x___x900 (extract_peripheral_counter,RRE,"EPCTR"),     /*  CMCF */
3625  /*B2E6*/ GENx___x___x___ ,
3626  /*B2E7*/ GENx___x___x___ ,
3627  /*B2E8*/ GENx___x___x900 (perform_processor_assist,RRF_M3,"PPA"),                 /*912*/
3628  /*B2E9*/ GENx___x___x___ ,
3629  /*B2EA*/ GENx___x___x___ ,
3630  /*B2EB*/ GENx___x___x___ ,
3631  /*B2EC*/ GENx___x___x900 (extract_transaction_nesting_depth,RRE_R1,"ETND"),       /*912*/
3632  /*B2ED*/ GENx___x___x900 (extract_coprocessor_group_address,RRE,"ECPGA"), /*  CMCF */
3633  /*B2EE*/ GENx___x___x___ ,
3634  /*B2EF*/ GENx___x___x___ ,
3635  /*B2F0*/ GENx370x390x900 (inter_user_communication_vehicle,S,"IUCV"),
3636  /*B2F1*/ GENx___x___x___ ,                                     /* Sysplex   */
3637  /*B2F2*/ GENx___x___x___ ,
3638  /*B2F3*/ GENx___x___x___ ,
3639  /*B2F4*/ GENx___x___x___ ,
3640  /*B2F5*/ GENx___x___x___ ,
3641  /*B2F6*/ GENx___x___x___ ,                                     /* Sysplex   */
3642  /*B2F7*/ GENx___x___x___ ,
3643  /*B2F8*/ GENx___x___x900 (transaction_end,none,"TEND"),                           /*912*/
3644  /*B2F9*/ GENx___x___x___ ,
3645  /*B2FA*/ GENx___x___x900 (next_instruction_access_intent,IE,"NIAI"),              /*912*/
3646  /*B2FB*/ GENx___x___x___ ,
3647  /*B2FC*/ GENx___x___x900 (transaction_abort,S,"TABORT"),                          /*912*/
3648  /*B2FD*/ GENx___x___x___ ,
3649  /*B2FE*/ GENx___x___x___ ,
3650  /*B2FF*/ GENx___x390x900 (trap4,S,"TRAP4") };
3651 
3652 
3653 // #if defined(FEATURE_BASIC_FP_EXTENSIONS)
3654 
3655 DLL_EXPORT zz_func opcode_b3xx[256][GEN_MAXARCH] = {
3656  /*B300*/ GENx37Xx390x900 (load_positive_bfp_short_reg,RRE,"LPEBR"),
3657  /*B301*/ GENx37Xx390x900 (load_negative_bfp_short_reg,RRE,"LNEBR"),
3658  /*B302*/ GENx37Xx390x900 (load_and_test_bfp_short_reg,RRE,"LTEBR"),
3659  /*B303*/ GENx37Xx390x900 (load_complement_bfp_short_reg,RRE,"LCEBR"),
3660  /*B304*/ GENx37Xx390x900 (load_lengthened_bfp_short_to_long_reg,RRE,"LDEBR"),
3661  /*B305*/ GENx37Xx390x900 (load_lengthened_bfp_long_to_ext_reg,RRE,"LXDBR"),
3662  /*B306*/ GENx37Xx390x900 (load_lengthened_bfp_short_to_ext_reg,RRE,"LXEBR"),
3663  /*B307*/ GENx37Xx390x900 (multiply_bfp_long_to_ext_reg,RRE,"MXDBR"),
3664  /*B308*/ GENx37Xx390x900 (compare_and_signal_bfp_short_reg,RRE,"KEBR"),
3665  /*B309*/ GENx37Xx390x900 (compare_bfp_short_reg,RRE,"CEBR"),
3666  /*B30A*/ GENx37Xx390x900 (add_bfp_short_reg,RRE,"AEBR"),
3667  /*B30B*/ GENx37Xx390x900 (subtract_bfp_short_reg,RRE,"SEBR"),
3668  /*B30C*/ GENx37Xx390x900 (multiply_bfp_short_to_long_reg,RRE,"MDEBR"),
3669  /*B30D*/ GENx37Xx390x900 (divide_bfp_short_reg,RRE,"DEBR"),
3670  /*B30E*/ GENx37Xx390x900 (multiply_add_bfp_short_reg,RRF_R,"MAEBR"),
3671  /*B30F*/ GENx37Xx390x900 (multiply_subtract_bfp_short_reg,RRF_R,"MSEBR"),
3672  /*B310*/ GENx37Xx390x900 (load_positive_bfp_long_reg,RRE,"LPDBR"),
3673  /*B311*/ GENx37Xx390x900 (load_negative_bfp_long_reg,RRE,"LNDBR"),
3674  /*B312*/ GENx37Xx390x900 (load_and_test_bfp_long_reg,RRE,"LTDBR"),
3675  /*B313*/ GENx37Xx390x900 (load_complement_bfp_long_reg,RRE,"LCDBR"),
3676  /*B314*/ GENx37Xx390x900 (squareroot_bfp_short_reg,RRE,"SQEBR"),
3677  /*B315*/ GENx37Xx390x900 (squareroot_bfp_long_reg,RRE,"SQDBR"),
3678  /*B316*/ GENx37Xx390x900 (squareroot_bfp_ext_reg,RRE,"SQXBR"),
3679  /*B317*/ GENx37Xx390x900 (multiply_bfp_short_reg,RRE,"MEEBR"),
3680  /*B318*/ GENx37Xx390x900 (compare_and_signal_bfp_long_reg,RRE,"KDBR"),
3681  /*B319*/ GENx37Xx390x900 (compare_bfp_long_reg,RRE,"CDBR"),
3682  /*B31A*/ GENx37Xx390x900 (add_bfp_long_reg,RRE,"ADBR"),
3683  /*B31B*/ GENx37Xx390x900 (subtract_bfp_long_reg,RRE,"SDBR"),
3684  /*B31C*/ GENx37Xx390x900 (multiply_bfp_long_reg,RRE,"MDBR"),
3685  /*B31D*/ GENx37Xx390x900 (divide_bfp_long_reg,RRE,"DDBR"),
3686  /*B31E*/ GENx37Xx390x900 (multiply_add_bfp_long_reg,RRF_R,"MADBR"),
3687  /*B31F*/ GENx37Xx390x900 (multiply_subtract_bfp_long_reg,RRF_R,"MSDBR"),
3688  /*B320*/ GENx___x___x___ ,
3689  /*B321*/ GENx___x___x___ ,
3690  /*B322*/ GENx___x___x___ ,
3691  /*B323*/ GENx___x___x___ ,
3692  /*B324*/ GENx37Xx390x900 (load_lengthened_float_short_to_long_reg,RRE,"LDER"),
3693  /*B325*/ GENx37Xx390x900 (load_lengthened_float_long_to_ext_reg,RRE,"LXDR"),
3694  /*B326*/ GENx37Xx390x900 (load_lengthened_float_short_to_ext_reg,RRE,"LXER"),
3695  /*B327*/ GENx___x___x___ ,
3696  /*B328*/ GENx___x___x___ ,
3697  /*B329*/ GENx___x___x___ ,
3698  /*B32A*/ GENx___x___x___ ,
3699  /*B32B*/ GENx___x___x___ ,
3700  /*B32C*/ GENx___x___x___ ,
3701  /*B32D*/ GENx___x___x___ ,
3702  /*B32E*/ GENx37Xx390x900 (multiply_add_float_short_reg,RRF_R,"MAER"),
3703  /*B32F*/ GENx37Xx390x900 (multiply_subtract_float_short_reg,RRF_R,"MSER"),
3704  /*B330*/ GENx___x___x___ ,
3705  /*B331*/ GENx___x___x___ ,
3706  /*B332*/ GENx___x___x___ ,
3707  /*B333*/ GENx___x___x___ ,
3708  /*B334*/ GENx___x___x___ ,
3709  /*B335*/ GENx___x___x___ ,
3710  /*B336*/ GENx37Xx390x900 (squareroot_float_ext_reg,RRE,"SQXR"),
3711  /*B337*/ GENx37Xx390x900 (multiply_float_short_reg,RRE,"MEER"),
3712  /*B338*/ GENx37Xx___x900 (multiply_add_unnormal_float_long_to_ext_low_reg,RRF_R,"MAYLR"),  /*@Z9*/
3713  /*B339*/ GENx37Xx___x900 (multiply_unnormal_float_long_to_ext_low_reg,RRF_R,"MYLR"),       /*@Z9*/
3714  /*B33A*/ GENx37Xx___x900 (multiply_add_unnormal_float_long_to_ext_reg,RRF_R,"MAYR"),       /*@Z9*/
3715  /*B33B*/ GENx37Xx___x900 (multiply_unnormal_float_long_to_ext_reg,RRF_R,"MYR"),            /*@Z9*/
3716  /*B33C*/ GENx37Xx___x900 (multiply_add_unnormal_float_long_to_ext_high_reg,RRF_R,"MAYHR"), /*@Z9*/
3717  /*B33D*/ GENx37Xx___x900 (multiply_unnormal_float_long_to_ext_high_reg,RRF_R,"MYHR"),      /*@Z9*/
3718  /*B33E*/ GENx37Xx390x900 (multiply_add_float_long_reg,RRF_R,"MADR"),
3719  /*B33F*/ GENx37Xx390x900 (multiply_subtract_float_long_reg,RRF_R,"MSDR"),
3720  /*B340*/ GENx37Xx390x900 (load_positive_bfp_ext_reg,RRE,"LPXBR"),
3721  /*B341*/ GENx37Xx390x900 (load_negative_bfp_ext_reg,RRE,"LNXBR"),
3722  /*B342*/ GENx37Xx390x900 (load_and_test_bfp_ext_reg,RRE,"LTXBR"),
3723  /*B343*/ GENx37Xx390x900 (load_complement_bfp_ext_reg,RRE,"LCXBR"),
3724  /*B344*/ GENx37Xx390x900 (load_rounded_bfp_long_to_short_reg,RRE_MMA,"LEDBR"),
3725  /*B345*/ GENx37Xx390x900 (load_rounded_bfp_ext_to_long_reg,RRE_MMA,"LDXBR"),
3726  /*B346*/ GENx37Xx390x900 (load_rounded_bfp_ext_to_short_reg,RRE_MMA,"LEXBR"),
3727  /*B347*/ GENx37Xx390x900 (load_fp_int_bfp_ext_reg,RRF_MMA,"FIXBR"),
3728  /*B348*/ GENx37Xx390x900 (compare_and_signal_bfp_ext_reg,RRE,"KXBR"),
3729  /*B349*/ GENx37Xx390x900 (compare_bfp_ext_reg,RRE,"CXBR"),
3730  /*B34A*/ GENx37Xx390x900 (add_bfp_ext_reg,RRE,"AXBR"),
3731  /*B34B*/ GENx37Xx390x900 (subtract_bfp_ext_reg,RRE,"SXBR"),
3732  /*B34C*/ GENx37Xx390x900 (multiply_bfp_ext_reg,RRE,"MXBR"),
3733  /*B34D*/ GENx37Xx390x900 (divide_bfp_ext_reg,RRE,"DXBR"),
3734  /*B34E*/ GENx___x___x___ ,
3735  /*B34F*/ GENx___x___x___ ,
3736  /*B350*/ GENx37Xx390x900 (convert_float_long_to_bfp_short_reg,RRF_M,"TBEDR"),
3737  /*B351*/ GENx37Xx390x900 (convert_float_long_to_bfp_long_reg,RRF_M,"TBDR"),
3738  /*B352*/ GENx___x___x___ ,
3739  /*B353*/ GENx37Xx390x900 (divide_integer_bfp_short_reg,RRF_RM,"DIEBR"),
3740  /*B354*/ GENx___x___x___ ,
3741  /*B355*/ GENx___x___x___ ,
3742  /*B356*/ GENx___x___x___ ,
3743  /*B357*/ GENx37Xx390x900 (load_fp_int_bfp_short_reg,RRF_MMA,"FIEBR"),
3744  /*B358*/ GENx37Xx390x900 (convert_bfp_short_to_float_long_reg,RRE,"THDER"),
3745  /*B359*/ GENx37Xx390x900 (convert_bfp_long_to_float_long_reg,RRE,"THDR"),
3746  /*B35A*/ GENx___x___x___ ,
3747  /*B35B*/ GENx37Xx390x900 (divide_integer_bfp_long_reg,RRF_RM,"DIDBR"),
3748  /*B35C*/ GENx___x___x___ ,
3749  /*B35D*/ GENx___x___x___ ,
3750  /*B35E*/ GENx___x___x___ ,
3751  /*B35F*/ GENx37Xx390x900 (load_fp_int_bfp_long_reg,RRF_MMA,"FIDBR"),
3752  /*B360*/ GENx37Xx390x900 (load_positive_float_ext_reg,RRE,"LPXR"),
3753  /*B361*/ GENx37Xx390x900 (load_negative_float_ext_reg,RRE,"LNXR"),
3754  /*B362*/ GENx37Xx390x900 (load_and_test_float_ext_reg,RRE,"LTXR"),
3755  /*B363*/ GENx37Xx390x900 (load_complement_float_ext_reg,RRE,"LCXR"),
3756  /*B364*/ GENx___x___x___ ,
3757  /*B365*/ GENx37Xx390x900 (load_float_ext_reg,RRE,"LXR"),
3758  /*B366*/ GENx37Xx390x900 (load_rounded_float_ext_to_short_reg,RRE,"LEXR"),
3759  /*B367*/ GENx37Xx390x900 (load_fp_int_float_ext_reg,RRE,"FIXR"),
3760  /*B368*/ GENx___x___x___ ,
3761  /*B369*/ GENx37Xx390x900 (compare_float_ext_reg,RRE,"CXR"),
3762  /*B36A*/ GENx___x___x___ ,
3763  /*B36B*/ GENx___x___x___ ,
3764  /*B36C*/ GENx___x___x___ ,
3765  /*B36D*/ GENx___x___x___ ,
3766  /*B36E*/ GENx___x___x___ ,
3767  /*B36F*/ GENx___x___x___ ,
3768  /*B370*/ GENx37Xx390x900 (load_positive_fpr_long_reg,RRE,"LPDFR"),
3769  /*B371*/ GENx37Xx390x900 (load_negative_fpr_long_reg,RRE,"LNDFR"),
3770  /*B372*/ GENx37Xx390x900 (copy_sign_fpr_long_reg,RRF_M,"CPSDR"),
3771  /*B373*/ GENx37Xx390x900 (load_complement_fpr_long_reg,RRE,"LCDFR"),
3772  /*B374*/ GENx37Xx390x900 (load_zero_float_short_reg,RRE_R1,"LZER"),
3773  /*B375*/ GENx37Xx390x900 (load_zero_float_long_reg,RRE_R1,"LZDR"),
3774  /*B376*/ GENx37Xx390x900 (load_zero_float_ext_reg,RRE_R1,"LZXR"),
3775  /*B377*/ GENx37Xx390x900 (load_fp_int_float_short_reg,RRE,"FIER"),
3776  /*B378*/ GENx___x___x___ ,
3777  /*B379*/ GENx___x___x___ ,
3778  /*B37A*/ GENx___x___x___ ,
3779  /*B37B*/ GENx___x___x___ ,
3780  /*B37C*/ GENx___x___x___ ,
3781  /*B37D*/ GENx___x___x___ ,
3782  /*B37E*/ GENx___x___x___ ,
3783  /*B37F*/ GENx37Xx390x900 (load_fp_int_float_long_reg,RRE,"FIDR"),
3784  /*B380*/ GENx___x___x___ ,
3785  /*B381*/ GENx___x___x___ ,
3786  /*B382*/ GENx___x___x___ ,
3787  /*B383*/ GENx___x___x___ ,
3788  /*B384*/ GENx37Xx390x900 (set_fpc,RRE_R1,"SFPC"),
3789  /*B385*/ GENx37Xx390x900 (set_fpc_and_signal,RRE_R1,"SFASR"),
3790  /*B386*/ GENx___x___x___ ,
3791  /*B387*/ GENx___x___x___ ,
3792  /*B388*/ GENx___x___x___ ,
3793  /*B389*/ GENx___x___x___ ,
3794  /*B38A*/ GENx___x___x___ ,
3795  /*B38B*/ GENx___x___x___ ,
3796  /*B38C*/ GENx37Xx390x900 (extract_fpc,RRE_R1,"EFPC"),
3797  /*B38D*/ GENx___x___x___ ,
3798  /*B38E*/ GENx___x___x___ ,
3799  /*B38F*/ GENx___x___x___ ,
3800  /*B390*/ GENx37Xx390x900 (convert_u32_to_bfp_short_reg,RRF_MM,"CELFBR"),          /*810*/
3801  /*B391*/ GENx37Xx390x900 (convert_u32_to_bfp_long_reg,RRF_MM,"CDLFBR"),           /*810*/
3802  /*B392*/ GENx37Xx390x900 (convert_u32_to_bfp_ext_reg,RRF_MM,"CXLFBR"),            /*810*/
3803  /*B393*/ GENx___x___x___ ,
3804  /*B394*/ GENx37Xx390x900 (convert_fix32_to_bfp_short_reg,RRE_MMA,"CEFBR"),
3805  /*B395*/ GENx37Xx390x900 (convert_fix32_to_bfp_long_reg,RRE_MMA,"CDFBR"),
3806  /*B396*/ GENx37Xx390x900 (convert_fix32_to_bfp_ext_reg,RRE_MMA,"CXFBR"),
3807  /*B397*/ GENx___x___x___ ,
3808  /*B398*/ GENx37Xx390x900 (convert_bfp_short_to_fix32_reg,RRF_MMA,"CFEBR"),
3809  /*B399*/ GENx37Xx390x900 (convert_bfp_long_to_fix32_reg,RRF_MMA,"CFDBR"),
3810  /*B39A*/ GENx37Xx390x900 (convert_bfp_ext_to_fix32_reg,RRF_MMA,"CFXBR"),
3811  /*B39B*/ GENx___x___x___ ,
3812  /*B39C*/ GENx37Xx390x900 (convert_bfp_short_to_u32_reg,RRF_MM,"CLFEBR"),          /*810*/
3813  /*B39D*/ GENx37Xx390x900 (convert_bfp_long_to_u32_reg,RRF_MM,"CLFDBR"),           /*810*/
3814  /*B39E*/ GENx37Xx390x900 (convert_bfp_ext_to_u32_reg,RRF_MM,"CLFXBR"),            /*810*/
3815  /*B39F*/ GENx___x___x___ ,
3816  /*B3A0*/ GENx___x___x900 (convert_u64_to_bfp_short_reg,RRF_MM,"CELGBR"),          /*810*/
3817  /*B3A1*/ GENx___x___x900 (convert_u64_to_bfp_long_reg,RRF_MM,"CDLGBR"),           /*810*/
3818  /*B3A2*/ GENx___x___x900 (convert_u64_to_bfp_ext_reg,RRF_MM,"CXLGBR"),            /*810*/
3819  /*B3A3*/ GENx___x___x___ ,
3820  /*B3A4*/ GENx___x___x900 (convert_fix64_to_bfp_short_reg,RRE_MMA,"CEGBR"),
3821  /*B3A5*/ GENx___x___x900 (convert_fix64_to_bfp_long_reg,RRE_MMA,"CDGBR"),
3822  /*B3A6*/ GENx___x___x900 (convert_fix64_to_bfp_ext_reg,RRE_MMA,"CXGBR"),
3823  /*B3A7*/ GENx___x___x___ ,
3824  /*B3A8*/ GENx___x___x900 (convert_bfp_short_to_fix64_reg,RRF_MMA,"CGEBR"),
3825  /*B3A9*/ GENx___x___x900 (convert_bfp_long_to_fix64_reg,RRF_MMA,"CGDBR"),
3826  /*B3AA*/ GENx___x___x900 (convert_bfp_ext_to_fix64_reg,RRF_MMA,"CGXBR"),
3827  /*B3AB*/ GENx___x___x___ ,
3828  /*B3AC*/ GENx___x___x900 (convert_bfp_short_to_u64_reg,RRF_MM,"CLGEBR"),          /*810*/
3829  /*B3AD*/ GENx___x___x900 (convert_bfp_long_to_u64_reg,RRF_MM,"CLGDBR"),           /*810*/
3830  /*B3AE*/ GENx___x___x900 (convert_bfp_ext_to_u64_reg,RRF_MM,"CLGXBR"),            /*810*/
3831  /*B3AF*/ GENx___x___x___ ,
3832  /*B3B0*/ GENx___x___x___ ,
3833  /*B3B1*/ GENx___x___x___ ,
3834  /*B3B2*/ GENx___x___x___ ,
3835  /*B3B3*/ GENx___x___x___ ,
3836  /*B3B4*/ GENx37Xx390x900 (convert_fixed_to_float_short_reg,RRE,"CEFR"),
3837  /*B3B5*/ GENx37Xx390x900 (convert_fixed_to_float_long_reg,RRE,"CDFR"),
3838  /*B3B6*/ GENx37Xx390x900 (convert_fixed_to_float_ext_reg,RRE,"CXFR"),
3839  /*B3B7*/ GENx___x___x___ ,
3840  /*B3B8*/ GENx37Xx390x900 (convert_float_short_to_fixed_reg,RRF_M,"CFER"),
3841  /*B3B9*/ GENx37Xx390x900 (convert_float_long_to_fixed_reg,RRF_M,"CFDR"),
3842  /*B3BA*/ GENx37Xx390x900 (convert_float_ext_to_fixed_reg,RRF_M,"CFXR"),
3843  /*B3BB*/ GENx___x___x___ ,
3844  /*B3BC*/ GENx___x___x___ ,
3845  /*B3BD*/ GENx___x___x___ ,
3846  /*B3BE*/ GENx___x___x___ ,
3847  /*B3BF*/ GENx___x___x___ ,
3848  /*B3C0*/ GENx___x___x___ ,
3849  /*B3C1*/ GENx___x___x900 (load_fpr_from_gr_long_reg,RRE,"LDGR"),
3850  /*B3C2*/ GENx___x___x___ ,
3851  /*B3C3*/ GENx___x___x___ ,
3852  /*B3C4*/ GENx___x___x900 (convert_fix64_to_float_short_reg,RRE,"CEGR"),
3853  /*B3C5*/ GENx___x___x900 (convert_fix64_to_float_long_reg,RRE,"CDGR"),
3854  /*B3C6*/ GENx___x___x900 (convert_fix64_to_float_ext_reg,RRE,"CXGR"),
3855  /*B3C7*/ GENx___x___x___ ,
3856  /*B3C8*/ GENx___x___x900 (convert_float_short_to_fix64_reg,RRF_M,"CGER"),
3857  /*B3C9*/ GENx___x___x900 (convert_float_long_to_fix64_reg,RRF_M,"CGDR"),
3858  /*B3CA*/ GENx___x___x900 (convert_float_ext_to_fix64_reg,RRF_M,"CGXR"),
3859  /*B3CB*/ GENx___x___x___ ,
3860  /*B3CC*/ GENx___x___x___ ,
3861  /*B3CD*/ GENx___x___x900 (load_gr_from_fpr_long_reg,RRE,"LGDR"),
3862  /*B3CE*/ GENx___x___x___ ,
3863  /*B3CF*/ GENx___x___x___ ,
3864  /*B3D0*/ GENx___x390x900 (multiply_dfp_long_reg,RRR_MA,"MDTR"),
3865  /*B3D1*/ GENx___x390x900 (divide_dfp_long_reg,RRR_MA,"DDTR"),
3866  /*B3D2*/ GENx___x390x900 (add_dfp_long_reg,RRR_MA,"ADTR"),
3867  /*B3D3*/ GENx___x390x900 (subtract_dfp_long_reg,RRR_MA,"SDTR"),
3868  /*B3D4*/ GENx___x390x900 (load_lengthened_dfp_short_to_long_reg,RRF_M4,"LDETR"),
3869  /*B3D5*/ GENx___x390x900 (load_rounded_dfp_long_to_short_reg,RRF_MM,"LEDTR"),
3870  /*B3D6*/ GENx___x390x900 (load_and_test_dfp_long_reg,RRE,"LTDTR"),
3871  /*B3D7*/ GENx___x390x900 (load_fp_int_dfp_long_reg,RRF_MM,"FIDTR"),
3872  /*B3D8*/ GENx___x390x900 (multiply_dfp_ext_reg,RRR_MA,"MXTR"),
3873  /*B3D9*/ GENx___x390x900 (divide_dfp_ext_reg,RRR_MA,"DXTR"),
3874  /*B3DA*/ GENx___x390x900 (add_dfp_ext_reg,RRR_MA,"AXTR"),
3875  /*B3DB*/ GENx___x390x900 (subtract_dfp_ext_reg,RRR_MA,"SXTR"),
3876  /*B3DC*/ GENx___x390x900 (load_lengthened_dfp_long_to_ext_reg,RRF_M4,"LXDTR"),
3877  /*B3DD*/ GENx___x390x900 (load_rounded_dfp_ext_to_long_reg,RRF_MM,"LDXTR"),
3878  /*B3DE*/ GENx___x390x900 (load_and_test_dfp_ext_reg,RRE,"LTXTR"),
3879  /*B3DF*/ GENx___x390x900 (load_fp_int_dfp_ext_reg,RRF_MM,"FIXTR"),
3880  /*B3E0*/ GENx___x390x900 (compare_and_signal_dfp_long_reg,RRE,"KDTR"),
3881  /*B3E1*/ GENx___x390x900 (convert_dfp_long_to_fix64_reg,RRF_MMA,"CGDTR"),
3882  /*B3E2*/ GENx___x390x900 (convert_dfp_long_to_ubcd64_reg,RRE,"CUDTR"),
3883  /*B3E3*/ GENx___x390x900 (convert_dfp_long_to_sbcd64_reg,RRF_M4,"CSDTR"),
3884  /*B3E4*/ GENx___x390x900 (compare_dfp_long_reg,RRE,"CDTR"),
3885  /*B3E5*/ GENx___x390x900 (extract_biased_exponent_dfp_long_to_fix64_reg,RRE,"EEDTR"),
3886  /*B3E6*/ GENx___x___x___ ,
3887  /*B3E7*/ GENx___x390x900 (extract_significance_dfp_long_reg,RRE,"ESDTR"),
3888  /*B3E8*/ GENx___x390x900 (compare_and_signal_dfp_ext_reg,RRE,"KXTR"),
3889  /*B3E9*/ GENx___x390x900 (convert_dfp_ext_to_fix64_reg,RRF_MMA,"CGXTR"),
3890  /*B3EA*/ GENx___x390x900 (convert_dfp_ext_to_ubcd128_reg,RRE,"CUXTR"),
3891  /*B3EB*/ GENx___x390x900 (convert_dfp_ext_to_sbcd128_reg,RRF_M4,"CSXTR"),
3892  /*B3EC*/ GENx___x390x900 (compare_dfp_ext_reg,RRE,"CXTR"),
3893  /*B3ED*/ GENx___x390x900 (extract_biased_exponent_dfp_ext_to_fix64_reg,RRE,"EEXTR"),
3894  /*B3EE*/ GENx___x___x___ ,
3895  /*B3EF*/ GENx___x390x900 (extract_significance_dfp_ext_reg,RRE,"ESXTR"),
3896  /*B3F0*/ GENx___x___x___ ,
3897  /*B3F1*/ GENx___x390x900 (convert_fix64_to_dfp_long_reg,RRE_MMA,"CDGTR"),
3898  /*B3F2*/ GENx___x390x900 (convert_ubcd64_to_dfp_long_reg,RRE,"CDUTR"),
3899  /*B3F3*/ GENx___x390x900 (convert_sbcd64_to_dfp_long_reg,RRE,"CDSTR"),
3900  /*B3F4*/ GENx___x390x900 (compare_exponent_dfp_long_reg,RRE,"CEDTR"),
3901  /*B3F5*/ GENx___x390x900 (quantize_dfp_long_reg,RRF_RM,"QADTR"),
3902  /*B3F6*/ GENx___x390x900 (insert_biased_exponent_fix64_to_dfp_long_reg,RRF_M,"IEDTR"),
3903  /*B3F7*/ GENx___x390x900 (reround_dfp_long_reg,RRF_RM,"RRDTR"),
3904  /*B3F8*/ GENx___x___x___ ,
3905  /*B3F9*/ GENx___x390x900 (convert_fix64_to_dfp_ext_reg,RRE_MMA,"CXGTR"),
3906  /*B3FA*/ GENx___x390x900 (convert_ubcd128_to_dfp_ext_reg,RRE,"CXUTR"),
3907  /*B3FB*/ GENx___x390x900 (convert_sbcd128_to_dfp_ext_reg,RRE,"CXSTR"),
3908  /*B3FC*/ GENx___x390x900 (compare_exponent_dfp_ext_reg,RRE,"CEXTR"),
3909  /*B3FD*/ GENx___x390x900 (quantize_dfp_ext_reg,RRF_RM,"QAXTR"),
3910  /*B3FE*/ GENx___x390x900 (insert_biased_exponent_fix64_to_dfp_ext_reg,RRF_M,"IEXTR"),
3911  /*B3FF*/ GENx___x390x900 (reround_dfp_ext_reg,RRF_RM,"RRXTR") };
3912 
3913 // #endif /*defined(FEATURE_BASIC_FP_EXTENSIONS)*/
3914 
3915 // #if defined(FEATURE_ESAME)
3916 
3917 DLL_EXPORT zz_func opcode_b9xx[256][GEN_MAXARCH] = {
3918  /*B900*/ GENx___x___x900 (load_positive_long_register,RRE,"LPGR"),
3919  /*B901*/ GENx___x___x900 (load_negative_long_register,RRE,"LNGR"),
3920  /*B902*/ GENx___x___x900 (load_and_test_long_register,RRE,"LTGR"),
3921  /*B903*/ GENx___x___x900 (load_complement_long_register,RRE,"LCGR"),
3922  /*B904*/ GENx___x___x900 (load_long_register,RRE,"LGR"),
3923  /*B905*/ GENx___x___x900 (load_using_real_address_long,RRE,"LURAG"),
3924  /*B906*/ GENx___x___x900 (load_long_byte_register,RRE,"LGBR"),                    /*@Z9*/
3925  /*B907*/ GENx___x___x900 (load_long_halfword_register,RRE,"LGHR"),                /*@Z9*/
3926  /*B908*/ GENx___x___x900 (add_long_register,RRE,"AGR"),
3927  /*B909*/ GENx___x___x900 (subtract_long_register,RRE,"SGR"),
3928  /*B90A*/ GENx___x___x900 (add_logical_long_register,RRE,"ALGR"),
3929  /*B90B*/ GENx___x___x900 (subtract_logical_long_register,RRE,"SLGR"),
3930  /*B90C*/ GENx___x___x900 (multiply_single_long_register,RRE,"MSGR"),
3931  /*B90D*/ GENx___x___x900 (divide_single_long_register,RRE,"DSGR"),
3932  /*B90E*/ GENx___x___x900 (extract_stacked_registers_long,RRE,"EREGG"),
3933  /*B90F*/ GENx___x___x900 (load_reversed_long_register,RRE,"LRVGR"),
3934  /*B910*/ GENx___x___x900 (load_positive_long_fullword_register,RRE,"LPGFR"),
3935  /*B911*/ GENx___x___x900 (load_negative_long_fullword_register,RRE,"LNGFR"),
3936  /*B912*/ GENx___x___x900 (load_and_test_long_fullword_register,RRE,"LTGFR"),
3937  /*B913*/ GENx___x___x900 (load_complement_long_fullword_register,RRE,"LCGFR"),
3938  /*B914*/ GENx___x___x900 (load_long_fullword_register,RRE,"LGFR"),
3939  /*B915*/ GENx___x___x___ ,
3940  /*B916*/ GENx___x___x900 (load_logical_long_fullword_register,RRE,"LLGFR"),
3941  /*B917*/ GENx___x___x900 (load_logical_long_thirtyone_register,RRE,"LLGTR"),
3942  /*B918*/ GENx___x___x900 (add_long_fullword_register,RRE,"AGFR"),
3943  /*B919*/ GENx___x___x900 (subtract_long_fullword_register,RRE,"SGFR"),
3944  /*B91A*/ GENx___x___x900 (add_logical_long_fullword_register,RRE,"ALGFR"),
3945  /*B91B*/ GENx___x___x900 (subtract_logical_long_fullword_register,RRE,"SLGFR"),
3946  /*B91C*/ GENx___x___x900 (multiply_single_long_fullword_register,RRE,"MSGFR"),
3947  /*B91D*/ GENx___x___x900 (divide_single_long_fullword_register,RRE,"DSGFR"),
3948  /*B91E*/ GENx37Xx390x900 (compute_message_authentication_code_r,RRE,"KMAC"),
3949  /*B91F*/ GENx___x390x900 (load_reversed_register,RRE,"LRVR"),
3950  /*B920*/ GENx___x___x900 (compare_long_register,RRE,"CGR"),
3951  /*B921*/ GENx___x___x900 (compare_logical_long_register,RRE,"CLGR"),
3952  /*B922*/ GENx___x___x___ ,
3953  /*B923*/ GENx___x___x___ ,
3954  /*B924*/ GENx___x___x___ ,
3955  /*B925*/ GENx___x___x900 (store_using_real_address_long,RRE,"STURG"),
3956  /*B926*/ GENx37Xx390x900 (load_byte_register,RRE,"LBR"),                          /*@Z9*/
3957  /*B927*/ GENx37Xx390x900 (load_halfword_register,RRE,"LHR"),                      /*@Z9*/
3958  /*B928*/ GENx37Xx390x900 (perform_cryptographic_key_management_operation_r,none,"PCKMO"), /*810*/
3959  /*B929*/ GENx___x___x___ ,
3960  /*B92A*/ GENx37Xx390x900 (cipher_message_with_cipher_feedback_r,RRE,"KMF"),       /*810*/
3961  /*B92B*/ GENx37Xx390x900 (cipher_message_with_output_feedback_r,RRE,"KMO"),       /*810*/
3962  /*B92C*/ GENx37Xx390x900 (perform_cryptographic_computation_r,none,"PCC"),        /*810*/
3963  /*B92D*/ GENx37Xx390x900 (cipher_message_with_counter_r,RRF_M,"KMCTR"),           /*810*/
3964  /*B92E*/ GENx37Xx390x900 (cipher_message_r,RRE,"KM"),
3965  /*B92F*/ GENx37Xx390x900 (cipher_message_with_chaining_r,RRE,"KMC"),
3966  /*B930*/ GENx___x___x900 (compare_long_fullword_register,RRE,"CGFR"),
3967  /*B931*/ GENx___x___x900 (compare_logical_long_fullword_register,RRE,"CLGFR"),
3968  /*B932*/ GENx___x___x___ ,
3969  /*B933*/ GENx___x___x___ ,
3970  /*B934*/ GENx___x___x___ ,
3971  /*B935*/ GENx___x___x___ ,
3972  /*B936*/ GENx___x___x___ ,
3973  /*B937*/ GENx___x___x___ ,
3974  /*B938*/ GENx___x___x___ ,
3975  /*B939*/ GENx___x___x___ ,
3976  /*B93A*/ GENx___x___x___ ,
3977  /*B93B*/ GENx___x___x___ ,
3978  /*B93C*/ GENx___x___x___ ,
3979  /*B93D*/ GENx___x___x___ ,
3980  /*B93E*/ GENx37Xx390x900 (compute_intermediate_message_digest_r,RRE,"KIMD"),
3981  /*B93F*/ GENx37Xx390x900 (compute_last_message_digest_r,RRE,"KLMD"),
3982  /*B940*/ GENx___x___x___ ,
3983  /*B941*/ GENx___x390x900 (convert_dfp_long_to_fix32_reg,RRF_MM,"CFDTR"),          /*810*/
3984  /*B942*/ GENx___x___x900 (convert_dfp_long_to_u64_reg,RRF_MM,"CLGDTR"),           /*810*/
3985  /*B943*/ GENx___x390x900 (convert_dfp_long_to_u32_reg,RRF_MM,"CLFDTR"),           /*810*/
3986  /*B944*/ GENx___x___x___ ,
3987  /*B945*/ GENx___x___x___ ,
3988  /*B946*/ GENx___x___x900 (branch_on_count_long_register,RRE,"BCTGR"),
3989  /*B947*/ GENx___x___x___ ,
3990  /*B948*/ GENx___x___x___ ,
3991  /*B949*/ GENx___x390x900 (convert_dfp_ext_to_fix32_reg,RRF_MM,"CFXTR"),           /*810*/
3992  /*B94A*/ GENx___x___x900 (convert_dfp_ext_to_u64_reg,RRF_MM,"CLGXTR"),            /*810*/
3993  /*B94B*/ GENx___x390x900 (convert_dfp_ext_to_u32_reg,RRF_MM,"CLFXTR"),            /*810*/
3994  /*B94C*/ GENx___x___x___ ,
3995  /*B94D*/ GENx___x___x___ ,
3996  /*B94E*/ GENx___x___x___ ,
3997  /*B94F*/ GENx___x___x___ ,
3998  /*B950*/ GENx___x___x___ ,
3999  /*B951*/ GENx___x390x900 (convert_fix32_to_dfp_long_reg,RRF_MM,"CDFTR"),          /*810*/
4000  /*B952*/ GENx___x___x900 (convert_u64_to_dfp_long_reg,RRF_MM,"CDLGTR"),           /*810*/
4001  /*B953*/ GENx___x390x900 (convert_u32_to_dfp_long_reg,RRF_MM,"CDLFTR"),           /*810*/
4002  /*B954*/ GENx___x___x___ ,
4003  /*B955*/ GENx___x___x___ ,
4004  /*B956*/ GENx___x___x___ ,
4005  /*B957*/ GENx___x___x___ ,
4006  /*B958*/ GENx___x___x___ ,
4007  /*B959*/ GENx___x390x900 (convert_fix32_to_dfp_ext_reg,RRF_MM,"CXFTR"),           /*810*/
4008  /*B95A*/ GENx___x___x900 (convert_u64_to_dfp_ext_reg,RRF_MM,"CXLGTR"),            /*810*/
4009  /*B95B*/ GENx___x390x900 (convert_u32_to_dfp_ext_reg,RRF_MM,"CXLFTR"),            /*810*/
4010  /*B95C*/ GENx___x___x___ ,
4011  /*B95D*/ GENx___x___x___ ,
4012  /*B95E*/ GENx___x___x___ ,
4013  /*B95F*/ GENx___x___x___ ,
4014  /*B960*/ GENx___x___x900 (compare_and_trap_long_register,RRF_M3,"CGRT"),          /*208*/
4015  /*B961*/ GENx___x___x900 (compare_logical_and_trap_long_register,RRF_M3,"CLGRT"), /*208*/
4016  /*B962*/ GENx___x___x___ ,
4017  /*B963*/ GENx___x___x___ ,
4018  /*B964*/ GENx___x___x___ ,
4019  /*B965*/ GENx___x___x___ ,
4020  /*B966*/ GENx___x___x___ ,
4021  /*B967*/ GENx___x___x___ ,
4022  /*B968*/ GENx___x___x___ ,
4023  /*B969*/ GENx___x___x___ ,
4024  /*B96A*/ GENx___x___x___ ,
4025  /*B96B*/ GENx___x___x___ ,
4026  /*B96C*/ GENx___x___x___ ,
4027  /*B96D*/ GENx___x___x___ ,
4028  /*B96E*/ GENx___x___x___ ,
4029  /*B96F*/ GENx___x___x___ ,
4030  /*B970*/ GENx___x___x___ ,
4031  /*B971*/ GENx___x___x___ ,
4032  /*B972*/ GENx37Xx390x900 (compare_and_trap_register,RRF_M3,"CRT"),                /*208*/
4033  /*B973*/ GENx37Xx390x900 (compare_logical_and_trap_register,RRF_M3,"CLRT"),       /*208*/
4034  /*B974*/ GENx___x___x___ ,
4035  /*B975*/ GENx___x___x___ ,
4036  /*B976*/ GENx___x___x___ ,
4037  /*B977*/ GENx___x___x___ ,
4038  /*B978*/ GENx___x___x___ ,
4039  /*B979*/ GENx___x___x___ ,
4040  /*B97A*/ GENx___x___x___ ,
4041  /*B97B*/ GENx___x___x___ ,
4042  /*B97C*/ GENx___x___x___ ,
4043  /*B97D*/ GENx___x___x___ ,
4044  /*B97E*/ GENx___x___x___ ,
4045  /*B97F*/ GENx___x___x___ ,
4046  /*B980*/ GENx___x___x900 (and_long_register,RRE,"NGR"),
4047  /*B981*/ GENx___x___x900 (or_long_register,RRE,"OGR"),
4048  /*B982*/ GENx___x___x900 (exclusive_or_long_register,RRE,"XGR"),
4049  /*B983*/ GENx___x___x900 (find_leftmost_one_long_register,RRE,"FLOGR"),           /*@Z9*/
4050  /*B984*/ GENx___x___x900 (load_logical_long_character_register,RRE,"LLGCR"),      /*@Z9*/
4051  /*B985*/ GENx___x___x900 (load_logical_long_halfword_register,RRE,"LLGHR"),       /*@Z9*/
4052  /*B986*/ GENx___x___x900 (multiply_logical_long_register,RRE,"MLGR"),
4053  /*B987*/ GENx___x___x900 (divide_logical_long_register,RRE,"DLGR"),
4054  /*B988*/ GENx___x___x900 (add_logical_carry_long_register,RRE,"ALCGR"),
4055  /*B989*/ GENx___x___x900 (subtract_logical_borrow_long_register,RRE,"SLBGR"),
4056  /*B98A*/ GENx___x___x900 (compare_and_swap_and_purge_long,RRE,"CSPG"),
4057  /*B98B*/ GENx___x___x___ ,
4058  /*B98C*/ GENx___x___x___ ,
4059  /*B98D*/ GENx37Xx390x900 (extract_psw,RRE,"EPSW"),
4060  /*B98E*/ GENx___x___x900 (invalidate_dat_table_entry,RRF_RM,"IDTE"),              /*912*/
4061  /*B98F*/ GENx___x___x900 (compare_and_replace_dat_table_entry,RRF_RM,"CRDTE"),    /*912*/
4062  /*B990*/ GENx37Xx390x900 (translate_two_to_two,RRF_M3,"TRTT"),
4063  /*B991*/ GENx37Xx390x900 (translate_two_to_one,RRF_M3,"TRTO"),
4064  /*B992*/ GENx37Xx390x900 (translate_one_to_two,RRF_M3,"TROT"),
4065  /*B993*/ GENx37Xx390x900 (translate_one_to_one,RRF_M3,"TROO"),
4066  /*B994*/ GENx37Xx390x900 (load_logical_character_register,RRE,"LLCR"),            /*@Z9*/
4067  /*B995*/ GENx37Xx390x900 (load_logical_halfword_register,RRE,"LLHR"),             /*@Z9*/
4068  /*B996*/ GENx37Xx390x900 (multiply_logical_register,RRE,"MLR"),
4069  /*B997*/ GENx37Xx390x900 (divide_logical_register,RRE,"DLR"),
4070  /*B998*/ GENx37Xx390x900 (add_logical_carry_register,RRE,"ALCR"),
4071  /*B999*/ GENx37Xx390x900 (subtract_logical_borrow_register,RRE,"SLBR"),
4072  /*B99A*/ GENx___x___x900 (extract_primary_asn_and_instance,RRE_R1,"EPAIR"),
4073  /*B99B*/ GENx___x___x900 (extract_secondary_asn_and_instance,RRE_R1,"ESAIR"),
4074  /*B99C*/ GENx___x___x___ , /*(extract_qdio_buffer_state,?,"EQBS"),*/
4075  /*B99D*/ GENx___x___x900 (extract_and_set_extended_authority,RRE_R1,"ESEA"),
4076  /*B99E*/ GENx___x___x900 (program_transfer_with_instance,RRE,"PTI"),
4077  /*B99F*/ GENx___x___x900 (set_secondary_asn_with_instance,RRE_R1,"SSAIR"),
4078  /*B9A0*/ GENx___x___x___ ,
4079  /*B9A1*/ GENx___x___x___ ,
4080  /*B9A2*/ GENx___x___x900 (perform_topology_function,RRE,"PTF"),
4081  /*B9A3*/ GENx___x___x___ ,
4082  /*B9B9*/ GENx___x___x___ ,
4083  /*B9A5*/ GENx___x___x___ ,
4084  /*B9A6*/ GENx___x___x___ ,
4085  /*B9A7*/ GENx___x___x___ ,
4086  /*B9A8*/ GENx___x___x___ ,
4087  /*B9A9*/ GENx___x___x___ ,
4088  /*B9AA*/ GENx___x___x900 (load_page_table_entry_address,RRF_RM,"LPTEA"),          /*@Z9*/
4089  /*B9AB*/ GENx___x___x___ , /*(extract_and_set_storage_attributes,?,"ESSA"),*/
4090  /*B9AC*/ GENx___x___x___ ,
4091  /*B9AD*/ GENx___x___x___ ,
4092  /*B9AE*/ GENx___x___x900 (reset_reference_bits_multiple,RRE,"RRBM"),              /*810*/
4093  /*B9AF*/ GENx___x___x900 (perform_frame_management_function,RRE,"PFMF"),
4094  /*B9B0*/ GENx37Xx390x900 (convert_utf8_to_utf32,RRF_M3,"CU14"),
4095  /*B9B1*/ GENx37Xx390x900 (convert_utf16_to_utf32,RRF_M3,"CU24"),
4096  /*B9B2*/ GENx37Xx390x900 (convert_utf32_to_utf8,RRE,"CU41"),
4097  /*B9B3*/ GENx37Xx390x900 (convert_utf32_to_utf16,RRE,"CU42"),
4098  /*B9B4*/ GENx___x___x___ ,
4099  /*B9B5*/ GENx___x___x___ ,
4100  /*B9B6*/ GENx___x___x___ ,
4101  /*B9B7*/ GENx___x___x___ ,
4102  /*B9B8*/ GENx___x___x___ ,
4103  /*B9B9*/ GENx___x___x___ ,
4104  /*B9BA*/ GENx___x___x___ ,
4105  /*B9BB*/ GENx___x___x___ ,
4106  /*B9BC*/ GENx___x___x___ ,
4107  /*B9BD*/ GENx37Xx390x900 (translate_and_test_reverse_extended,RRF_M3,"TRTRE"),
4108  /*B9BE*/ GENx37Xx390x900 (search_string_unicode,RRE,"SRSTU"),
4109  /*B9BF*/ GENx37Xx390x900 (translate_and_test_extended,RRF_M3,"TRTE"),
4110  /*B9C0*/ GENx___x___x___ ,
4111  /*B9C1*/ GENx___x___x___ ,
4112  /*B9C2*/ GENx___x___x___ ,
4113  /*B9C3*/ GENx___x___x___ ,
4114  /*B9C4*/ GENx___x___x___ ,
4115  /*B9C5*/ GENx___x___x___ ,
4116  /*B9C6*/ GENx___x___x___ ,
4117  /*B9C7*/ GENx___x___x___ ,
4118  /*B9C8*/ GENx___x___x900 (add_high_high_high_register,RRF_M3,"AHHHR"),            /*810*/
4119  /*B9C9*/ GENx___x___x900 (subtract_high_high_high_register,RRF_M3,"SHHHR"),       /*810*/
4120  /*B9CA*/ GENx___x___x900 (add_logical_high_high_high_register,RRF_M3,"ALHHHR"),   /*810*/
4121  /*B9CB*/ GENx___x___x900 (subtract_logical_high_high_high_register,RRF_M3,"SLHHHR"), /*810*/
4122  /*B9CC*/ GENx___x___x___ ,
4123  /*B9CD*/ GENx___x___x900 (compare_high_high_register,RRE,"CHHR"),                 /*810*/
4124  /*B9CE*/ GENx___x___x___ ,
4125  /*B9CF*/ GENx___x___x900 (compare_logical_high_high_register,RRE,"CLHHR"),        /*810*/
4126  /*B9D0*/ GENx___x___x___ ,
4127  /*B9D1*/ GENx___x___x___ ,
4128  /*B9D2*/ GENx___x___x___ ,
4129  /*B9D3*/ GENx___x___x___ ,
4130  /*B9D4*/ GENx___x___x___ ,
4131  /*B9D5*/ GENx___x___x___ ,
4132  /*B9D6*/ GENx___x___x___ ,
4133  /*B9D7*/ GENx___x___x___ ,
4134  /*B9D8*/ GENx___x___x900 (add_high_high_low_register,RRF_M3,"AHHLR"),             /*810*/
4135  /*B9D9*/ GENx___x___x900 (subtract_high_high_low_register,RRF_M3,"SHHLR"),        /*810*/
4136  /*B9DA*/ GENx___x___x900 (add_logical_high_high_low_register,RRF_M3,"ALHHLR"),    /*810*/
4137  /*B9DB*/ GENx___x___x900 (subtract_logical_high_high_low_register,RRF_M3,"SLHHLR"), /*810*/
4138  /*B9DC*/ GENx___x___x___ ,
4139  /*B9DD*/ GENx___x___x900 (compare_high_low_register,RRE,"CHLR"),                  /*810*/
4140  /*B9DE*/ GENx___x___x___ ,
4141  /*B9DF*/ GENx___x___x900 (compare_logical_high_low_register,RRE,"CLHLR"),         /*810*/
4142  /*B9E0*/ GENx___x___x___ ,
4143  /*B9E1*/ GENx___x___x900 (population_count,RRE,"POPCNT"),                         /*810*/
4144  /*B9E2*/ GENx___x___x900 (load_on_condition_long_register,RRF_M3,"LOCGR"),        /*810*/
4145  /*B9E3*/ GENx___x___x___ ,
4146  /*B9E4*/ GENx___x___x900 (and_distinct_long_register,RRR,"NGRK"),                 /*810*/
4147  /*B9E5*/ GENx___x___x___ ,
4148  /*B9E6*/ GENx___x___x900 (or_distinct_long_register,RRR,"OGRK"),                  /*810*/
4149  /*B9E7*/ GENx___x___x900 (exclusive_or_distinct_long_register,RRR,"XGRK"),        /*810*/
4150  /*B9E8*/ GENx___x___x900 (add_distinct_long_register,RRR,"AGRK"),                 /*810*/
4151  /*B9E9*/ GENx___x___x900 (subtract_distinct_long_register,RRR,"SGRK"),            /*810*/
4152  /*B9EA*/ GENx___x___x900 (add_logical_distinct_long_register,RRR,"ALGRK"),        /*810*/
4153  /*B9EB*/ GENx___x___x900 (subtract_logical_distinct_long_register,RRR,"SLGRK"),   /*810*/
4154  /*B9EC*/ GENx___x___x___ ,
4155  /*B9ED*/ GENx___x___x___ ,
4156  /*B9EE*/ GENx___x___x___ ,
4157  /*B9EF*/ GENx___x___x___ ,
4158  /*B9F0*/ GENx___x___x___ ,
4159  /*B9F1*/ GENx___x___x___ ,
4160  /*B9F2*/ GENx37Xx390x900 (load_on_condition_register,RRF_M3,"LOCR"),              /*810*/
4161  /*B9F3*/ GENx___x___x___ ,
4162  /*B9F4*/ GENx37Xx390x900 (and_distinct_register,RRR,"NRK"),                       /*810*/
4163  /*B9F5*/ GENx___x___x___ ,
4164  /*B9F6*/ GENx37Xx390x900 (or_distinct_register,RRR,"ORK"),                        /*810*/
4165  /*B9F7*/ GENx37Xx390x900 (exclusive_or_distinct_register,RRR,"XRK"),              /*810*/
4166  /*B9F8*/ GENx37Xx390x900 (add_distinct_register,RRR,"ARK"),                       /*810*/
4167  /*B9F9*/ GENx37Xx390x900 (subtract_distinct_register,RRR,"SRK"),                  /*810*/
4168  /*B9FA*/ GENx37Xx390x900 (add_logical_distinct_register,RRR,"ALRK"),              /*810*/
4169  /*B9FB*/ GENx37Xx390x900 (subtract_logical_distinct_register,RRR,"SLRK"),         /*810*/
4170  /*B9FC*/ GENx___x___x___ ,
4171  /*B9FD*/ GENx___x___x___ ,
4172  /*B9FE*/ GENx___x___x___ ,
4173  /*B9FF*/ GENx___x___x___  };
4174 
4175 // #endif /*defined(FEATURE_ESAME)*/
4176 
4177 // #if defined(FEATURE_ESAME)
4178 
4179 DLL_EXPORT zz_func opcode_c0xx[16][GEN_MAXARCH] = {
4180  /*C0x0*/ GENx37Xx390x900 (load_address_relative_long,RIL_A,"LARL"),
4181  /*C0x1*/ GENx___x___x900 (load_long_fullword_immediate,RIL,"LGFI"),               /*@Z9*/
4182  /*C0x2*/ GENx___x___x___ ,
4183  /*C0x3*/ GENx___x___x___ ,
4184  /*C0x4*/ GENx37Xx390x900 (branch_relative_on_condition_long,RIL_A,"BRCL"),
4185  /*C0x5*/ GENx37Xx390x900 (branch_relative_and_save_long,RIL_A,"BRASL"),
4186  /*C0x6*/ GENx___x___x900 (exclusive_or_immediate_high_fullword,RIL,"XIHF"),       /*@Z9*/
4187  /*C0x7*/ GENx___x___x900 (exclusive_or_immediate_low_fullword,RIL,"XILF"),        /*@Z9*/
4188  /*C0x8*/ GENx___x___x900 (insert_immediate_high_fullword,RIL,"IIHF"),             /*@Z9*/
4189  /*C0x9*/ GENx___x___x900 (insert_immediate_low_fullword,RIL,"IILF"),              /*@Z9*/
4190  /*C0xA*/ GENx___x___x900 (and_immediate_high_fullword,RIL,"NIHF"),                /*@Z9*/
4191  /*C0xB*/ GENx___x___x900 (and_immediate_low_fullword,RIL,"NILF"),                 /*@Z9*/
4192  /*C0xC*/ GENx___x___x900 (or_immediate_high_fullword,RIL,"OIHF"),                 /*@Z9*/
4193  /*C0xD*/ GENx___x___x900 (or_immediate_low_fullword,RIL,"OILF"),                  /*@Z9*/
4194  /*C0xE*/ GENx___x___x900 (load_logical_immediate_high_fullword,RIL,"LLIHF"),      /*@Z9*/
4195  /*C0xF*/ GENx___x___x900 (load_logical_immediate_low_fullword,RIL,"LLILF") };     /*@Z9*/
4196 
4197 DLL_EXPORT zz_func opcode_c2xx[16][GEN_MAXARCH] = {                                /*@Z9*/
4198  /*C2x0*/ GENx___x___x900 (multiply_single_immediate_long_fullword,RIL,"MSGFI"),   /*208*/
4199  /*C2x1*/ GENx37Xx390x900 (multiply_single_immediate_fullword,RIL,"MSFI"),         /*208*/
4200  /*C2x2*/ GENx___x___x___ ,                                                        /*@Z9*/
4201  /*C2x3*/ GENx___x___x___ ,                                                        /*@Z9*/
4202  /*C2x4*/ GENx___x___x900 (subtract_logical_long_fullword_immediate,RIL,"SLGFI"),  /*@Z9*/
4203  /*C2x5*/ GENx37Xx390x900 (subtract_logical_fullword_immediate,RIL,"SLFI"),        /*@Z9*/
4204  /*C2x6*/ GENx___x___x___ ,                                                        /*@Z9*/
4205  /*C2x7*/ GENx___x___x___ ,                                                        /*@Z9*/
4206  /*C2x8*/ GENx___x___x900 (add_long_fullword_immediate,RIL,"AGFI"),                /*@Z9*/
4207  /*C2x9*/ GENx37Xx390x900 (add_fullword_immediate,RIL,"AFI"),                      /*@Z9*/
4208  /*C2xA*/ GENx___x___x900 (add_logical_long_fullword_immediate,RIL,"ALGFI"),       /*@Z9*/
4209  /*C2xB*/ GENx37Xx390x900 (add_logical_fullword_immediate,RIL,"ALFI"),             /*@Z9*/
4210  /*C2xC*/ GENx___x___x900 (compare_long_fullword_immediate,RIL,"CGFI"),            /*@Z9*/
4211  /*C2xD*/ GENx37Xx390x900 (compare_fullword_immediate,RIL,"CFI"),                  /*@Z9*/
4212  /*C2xE*/ GENx___x___x900 (compare_logical_long_fullword_immediate,RIL,"CLGFI"),   /*@Z9*/
4213  /*C2xF*/ GENx37Xx390x900 (compare_logical_fullword_immediate,RIL,"CLFI") };       /*@Z9*/
4214 
4215 DLL_EXPORT zz_func opcode_c4xx[16][GEN_MAXARCH] = {                                /*208*/
4216  /*C4x0*/ GENx___x___x___ ,                                                        /*208*/
4217  /*C4x1*/ GENx___x___x___ ,                                                        /*208*/
4218  /*C4x2*/ GENx37Xx390x900 (load_logical_halfword_relative_long,RIL_A,"LLHRL"),     /*208*/
4219  /*C4x3*/ GENx___x___x___ ,                                                        /*208*/
4220  /*C4x4*/ GENx___x___x900 (load_halfword_relative_long_long,RIL_A,"LGHRL"),        /*208*/
4221  /*C4x5*/ GENx37Xx390x900 (load_halfword_relative_long,RIL_A,"LHRL"),              /*208*/
4222  /*C4x6*/ GENx___x___x900 (load_logical_halfword_relative_long_long,RIL_A,"LLGHRL"), /*208*/
4223  /*C4x7*/ GENx37Xx390x900 (store_halfword_relative_long,RIL_A,"STHRL"),            /*208*/
4224  /*C4x8*/ GENx___x___x900 (load_relative_long_long,RIL_A,"LGRL"),                  /*208*/
4225  /*C4x9*/ GENx___x___x___ ,                                                        /*208*/
4226  /*C4xA*/ GENx___x___x___ ,                                                        /*208*/
4227  /*C4xB*/ GENx___x___x900 (store_relative_long_long,RIL_A,"STGRL"),                /*208*/
4228  /*C4xC*/ GENx___x___x900 (load_relative_long_long_fullword,RIL_A,"LGFRL"),        /*208*/
4229  /*C4xD*/ GENx37Xx390x900 (load_relative_long,RIL_A,"LRL"),                        /*208*/
4230  /*C4xE*/ GENx___x___x900 (load_logical_relative_long_long_fullword,RIL_A,"LLGFRL"), /*208*/
4231  /*C4xF*/ GENx37Xx390x900 (store_relative_long,RIL_A,"STRL") };                    /*208*/
4232 
4233 DLL_EXPORT zz_func opcode_c6xx[16][GEN_MAXARCH] = {                                /*208*/
4234  /*C6x0*/ GENx37Xx390x900 (execute_relative_long,RIL_A,"EXRL"),                    /*208*/
4235  /*C6x1*/ GENx___x___x___ ,                                                        /*208*/
4236  /*C6x2*/ GENx37Xx390x900 (prefetch_data_relative_long,RIL_A,"PFDRL"),             /*208*/
4237  /*C6x3*/ GENx___x___x___ ,                                                        /*208*/
4238  /*C6x4*/ GENx___x___x900 (compare_halfword_relative_long_long,RIL_A,"CGHRL"),     /*208*/
4239  /*C6x5*/ GENx37Xx390x900 (compare_halfword_relative_long,RIL_A,"CHRL"),           /*208*/
4240  /*C6x6*/ GENx___x___x900 (compare_logical_relative_long_long_halfword,RIL_A,"CLGHRL"), /*208*/
4241  /*C6x7*/ GENx37Xx390x900 (compare_logical_relative_long_halfword,RIL_A,"CLHRL"),  /*208*/
4242  /*C6x8*/ GENx___x___x900 (compare_relative_long_long,RIL_A,"CGRL"),               /*208*/
4243  /*C6x9*/ GENx___x___x___ ,                                                        /*208*/
4244  /*C6xA*/ GENx___x___x900 (compare_logical_relative_long_long,RIL_A,"CLGRL"),      /*208*/
4245  /*C6xB*/ GENx___x___x___ ,                                                        /*208*/
4246  /*C6xC*/ GENx___x___x900 (compare_relative_long_long_fullword,RIL_A,"CGFRL"),     /*208*/
4247  /*C6xD*/ GENx37Xx390x900 (compare_relative_long,RIL_A,"CRL"),                     /*208*/
4248  /*C6xE*/ GENx___x___x900 (compare_logical_relative_long_long_fullword,RIL_A,"CLGFRL"), /*208*/
4249  /*C6xF*/ GENx37Xx390x900 (compare_logical_relative_long,RIL_A,"CLRL") };          /*208*/
4250 
4251 DLL_EXPORT zz_func opcode_c8xx[16][GEN_MAXARCH] = {
4252  /*C8x0*/ GENx___x___x900 (move_with_optional_specifications,SSF,"MVCOS"),
4253  /*C8x1*/ GENx___x___x900 (extract_cpu_time,SSF,"ECTG"),
4254  /*C8x2*/ GENx___x___x900 (compare_and_swap_and_store,SSF,"CSST"),
4255  /*C8x3*/ GENx___x___x___ ,
4256  /*C8x4*/ GENx37Xx390x900 (load_pair_disjoint,SSF_RSS,"LPD"),                      /*810*/
4257  /*C8x5*/ GENx___x___x900 (load_pair_disjoint_long,SSF_RSS,"LPDG"),                /*810*/
4258  /*C8x6*/ GENx___x___x___ ,
4259  /*C8x7*/ GENx___x___x___ ,
4260  /*C8x8*/ GENx___x___x___ ,
4261  /*C8x9*/ GENx___x___x___ ,
4262  /*C8xA*/ GENx___x___x___ ,
4263  /*C8xB*/ GENx___x___x___ ,
4264  /*C8xC*/ GENx___x___x___ ,
4265  /*C8xD*/ GENx___x___x___ ,
4266  /*C8xE*/ GENx___x___x___ ,
4267  /*C8xF*/ GENx___x___x___ };
4268 
4269 DLL_EXPORT zz_func opcode_ccxx[16][GEN_MAXARCH] = {                                /*810*/
4270  /*CCx0*/ GENx___x___x___ ,
4271  /*CCx1*/ GENx___x___x___ ,
4272  /*CCx2*/ GENx___x___x___ ,
4273  /*CCx3*/ GENx___x___x___ ,
4274  /*CCx4*/ GENx___x___x___ ,
4275  /*CCx5*/ GENx___x___x___ ,
4276  /*CCx6*/ GENx___x___x900 (branch_relative_on_count_high,RIL,"BRCTH"),             /*810*/
4277  /*CCx7*/ GENx___x___x___ ,
4278  /*CCx8*/ GENx___x___x900 (add_high_immediate,RIL,"AIH"),                          /*810*/
4279  /*CCx9*/ GENx___x___x___ ,
4280  /*CCxA*/ GENx___x___x900 (add_logical_with_signed_immediate_high,RIL,"ALSIH"),    /*810*/
4281  /*CCxB*/ GENx___x___x900 (add_logical_with_signed_immediate_high_n,RIL,"ALSIHN"), /*810*/
4282  /*CCxC*/ GENx___x___x___ ,
4283  /*CCxD*/ GENx___x___x900 (compare_high_immediate,RIL,"CIH"),                      /*810*/
4284  /*CCxE*/ GENx___x___x___ ,
4285  /*CCxF*/ GENx___x___x900 (compare_logical_high_immediate,RIL,"CLIH") };           /*810*/
4286 
4287 // #endif /*defined(FEATURE_ESAME)*/
4288 
4289 // #if defined(FEATURE_ESAME)
4290 
4291 DLL_EXPORT zz_func opcode_e3xx[256][GEN_MAXARCH] = {
4292  /*E300*/ GENx___x___x___ ,
4293  /*E301*/ GENx___x___x___ ,
4294  /*E302*/ GENx___x___x900 (load_and_test_long,RXY,"LTG"),                          /*@Z9*/
4295  /*E303*/ GENx___x___x900 (load_real_address_long,RXY,"LRAG"),
4296  /*E304*/ GENx___x___x900 (load_long,RXY,"LG"),
4297  /*E305*/ GENx___x___x___ ,
4298  /*E306*/ GENx___x___x900 (convert_to_binary_y,RXY,"CVBY"),
4299  /*E307*/ GENx___x___x___ ,
4300  /*E308*/ GENx___x___x900 (add_long,RXY,"AG"),
4301  /*E309*/ GENx___x___x900 (subtract_long,RXY,"SG"),
4302  /*E30A*/ GENx___x___x900 (add_logical_long,RXY,"ALG"),
4303  /*E30B*/ GENx___x___x900 (subtract_logical_long,RXY,"SLG"),
4304  /*E30C*/ GENx___x___x900 (multiply_single_long,RXY,"MSG"),
4305  /*E30D*/ GENx___x___x900 (divide_single_long,RXY,"DSG"),
4306  /*E30E*/ GENx___x___x900 (convert_to_binary_long,RXY,"CVBG"),
4307  /*E30F*/ GENx___x___x900 (load_reversed_long,RXY,"LRVG"),
4308  /*E310*/ GENx___x___x___ ,
4309  /*E311*/ GENx___x___x___ ,
4310  /*E312*/ GENx37Xx390x900 (load_and_test,RXY,"LT"),                                /*@Z9*/
4311  /*E313*/ GENx___x___x900 (load_real_address_y,RXY,"LRAY"),
4312  /*E314*/ GENx___x___x900 (load_long_fullword,RXY,"LGF"),
4313  /*E315*/ GENx___x___x900 (load_long_halfword,RXY,"LGH"),
4314  /*E316*/ GENx___x___x900 (load_logical_long_fullword,RXY,"LLGF"),
4315  /*E317*/ GENx___x___x900 (load_logical_long_thirtyone,RXY,"LLGT"),
4316  /*E318*/ GENx___x___x900 (add_long_fullword,RXY,"AGF"),
4317  /*E319*/ GENx___x___x900 (subtract_long_fullword,RXY,"SGF"),
4318  /*E31A*/ GENx___x___x900 (add_logical_long_fullword,RXY,"ALGF"),
4319  /*E31B*/ GENx___x___x900 (subtract_logical_long_fullword,RXY,"SLGF"),
4320  /*E31C*/ GENx___x___x900 (multiply_single_long_fullword,RXY,"MSGF"),
4321  /*E31D*/ GENx___x___x900 (divide_single_long_fullword,RXY,"DSGF"),
4322  /*E31E*/ GENx___x390x900 (load_reversed,RXY,"LRV"),
4323  /*E31F*/ GENx___x390x900 (load_reversed_half,RXY,"LRVH"),
4324  /*E320*/ GENx___x___x900 (compare_long,RXY,"CG"),
4325  /*E321*/ GENx___x___x900 (compare_logical_long,RXY,"CLG"),
4326  /*E322*/ GENx___x___x___ ,
4327  /*E323*/ GENx___x___x___ ,
4328  /*E324*/ GENx___x___x900 (store_long,RXY,"STG"),
4329  /*E325*/ GENx___x___x900 (nontransactional_store_long,RXY,"NTSTG"),               /*912*/
4330  /*E326*/ GENx___x___x900 (convert_to_decimal_y,RXY,"CVDY"),
4331  /*E327*/ GENx___x___x___ ,
4332  /*E328*/ GENx___x___x___ ,
4333  /*E329*/ GENx___x___x___ ,
4334  /*E32A*/ GENx___x___x___ ,
4335  /*E32B*/ GENx___x___x___ ,
4336  /*E32C*/ GENx___x___x___ ,
4337  /*E32D*/ GENx___x___x___ ,
4338  /*E32E*/ GENx___x___x900 (convert_to_decimal_long,RXY,"CVDG"),
4339  /*E32F*/ GENx___x___x900 (store_reversed_long,RXY,"STRVG"),
4340  /*E330*/ GENx___x___x900 (compare_long_fullword,RXY,"CGF"),
4341  /*E331*/ GENx___x___x900 (compare_logical_long_fullword,RXY,"CLGF"),
4342  /*E332*/ GENx___x___x900 (load_and_test_long_fullword,RXY,"LTGF"),                /*208*/
4343  /*E333*/ GENx___x___x___ ,
4344  /*E334*/ GENx___x___x900 (compare_halfword_long,RXY,"CGH"),                       /*208*/
4345  /*E335*/ GENx___x___x___ ,
4346  /*E336*/ GENx37Xx390x900 (prefetch_data,RXY,"PFD"),                               /*208*/
4347  /*E337*/ GENx___x___x___ ,
4348  /*E338*/ GENx___x___x___ ,
4349  /*E339*/ GENx___x___x___ ,
4350  /*E33A*/ GENx___x___x___ ,
4351  /*E33B*/ GENx___x___x___ ,
4352  /*E33C*/ GENx___x___x___ ,
4353  /*E33D*/ GENx___x___x___ ,
4354  /*E33E*/ GENx___x390x900 (store_reversed,RXY,"STRV"),
4355  /*E33F*/ GENx___x390x900 (store_reversed_half,RXY,"STRVH"),
4356  /*E340*/ GENx___x___x___ ,
4357  /*E341*/ GENx___x___x___ ,
4358  /*E342*/ GENx___x___x___ ,
4359  /*E343*/ GENx___x___x___ ,
4360  /*E344*/ GENx___x___x___ ,
4361  /*E345*/ GENx___x___x___ ,
4362  /*E346*/ GENx___x___x900 (branch_on_count_long,RXY,"BCTG"),
4363  /*E347*/ GENx___x___x___ ,
4364  /*E348*/ GENx___x___x___ ,
4365  /*E349*/ GENx___x___x___ ,
4366  /*E34A*/ GENx___x___x___ ,
4367  /*E34B*/ GENx___x___x___ ,
4368  /*E34C*/ GENx___x___x___ ,
4369  /*E34D*/ GENx___x___x___ ,
4370  /*E34E*/ GENx___x___x___ ,
4371  /*E34F*/ GENx___x___x___ ,
4372  /*E350*/ GENx___x___x900 (store_y,RXY,"STY"),
4373  /*E351*/ GENx___x___x900 (multiply_single_y,RXY,"MSY"),
4374  /*E352*/ GENx___x___x___ ,
4375  /*E353*/ GENx___x___x___ ,
4376  /*E354*/ GENx___x___x900 (and_y,RXY,"NY"),
4377  /*E355*/ GENx___x___x900 (compare_logical_y,RXY,"CLY"),
4378  /*E356*/ GENx___x___x900 (or_y,RXY,"OY"),
4379  /*E357*/ GENx___x___x900 (exclusive_or_y,RXY,"XY"),
4380  /*E358*/ GENx___x___x900 (load_y,RXY,"LY"),
4381  /*E359*/ GENx___x___x900 (compare_y,RXY,"CY"),
4382  /*E35A*/ GENx___x___x900 (add_y,RXY,"AY"),
4383  /*E35B*/ GENx___x___x900 (subtract_y,RXY,"SY"),
4384  /*E35C*/ GENx___x___x900 (multiply_y,RXY,"MFY"),                                  /*208*/
4385  /*E35D*/ GENx___x___x___ ,
4386  /*E35E*/ GENx___x___x900 (add_logical_y,RXY,"ALY"),
4387  /*E35F*/ GENx___x___x900 (subtract_logical_y,RXY,"SLY"),
4388  /*E360*/ GENx___x___x___ ,
4389  /*E361*/ GENx___x___x___ ,
4390  /*E362*/ GENx___x___x___ ,
4391  /*E363*/ GENx___x___x___ ,
4392  /*E364*/ GENx___x___x___ ,
4393  /*E365*/ GENx___x___x___ ,
4394  /*E366*/ GENx___x___x___ ,
4395  /*E367*/ GENx___x___x___ ,
4396  /*E368*/ GENx___x___x___ ,
4397  /*E369*/ GENx___x___x___ ,
4398  /*E36A*/ GENx___x___x___ ,
4399  /*E36B*/ GENx___x___x___ ,
4400  /*E36C*/ GENx___x___x___ ,
4401  /*E36D*/ GENx___x___x___ ,
4402  /*E36E*/ GENx___x___x___ ,
4403  /*E36F*/ GENx___x___x___ ,
4404  /*E370*/ GENx___x___x900 (store_halfword_y,RXY,"STHY"),
4405  /*E371*/ GENx___x___x900 (load_address_y,RXY,"LAY"),
4406  /*E372*/ GENx___x___x900 (store_character_y,RXY,"STCY"),
4407  /*E373*/ GENx___x___x900 (insert_character_y,RXY,"ICY"),
4408  /*E374*/ GENx___x___x___ ,
4409  /*E375*/ GENx___x___x900 (load_address_extended_y,RXY,"LAEY"),                    /*208*/
4410  /*E376*/ GENx___x___x900 (load_byte,RXY,"LB"),
4411  /*E377*/ GENx___x___x900 (load_byte_long,RXY,"LGB"),
4412  /*E378*/ GENx___x___x900 (load_halfword_y,RXY,"LHY"),
4413  /*E379*/ GENx___x___x900 (compare_halfword_y,RXY,"CHY"),
4414  /*E37A*/ GENx___x___x900 (add_halfword_y,RXY,"AHY"),
4415  /*E37B*/ GENx___x___x900 (subtract_halfword_y,RXY,"SHY"),
4416  /*E37C*/ GENx___x___x900 (multiply_halfword_y,RXY,"MHY"),                         /*208*/
4417  /*E37D*/ GENx___x___x___ ,
4418  /*E37E*/ GENx___x___x___ ,
4419  /*E37F*/ GENx___x___x___ ,
4420  /*E380*/ GENx___x___x900 (and_long,RXY,"NG"),
4421  /*E381*/ GENx___x___x900 (or_long,RXY,"OG"),
4422  /*E382*/ GENx___x___x900 (exclusive_or_long,RXY,"XG"),
4423  /*E383*/ GENx___x___x___ ,
4424  /*E384*/ GENx___x___x___ ,
4425  /*E385*/ GENx___x___x900 (load_long_and_trap,RXY,"LGAT"),                         /*912*/
4426  /*E386*/ GENx___x___x900 (multiply_logical_long,RXY,"MLG"),
4427  /*E387*/ GENx___x___x900 (divide_logical_long,RXY,"DLG"),
4428  /*E388*/ GENx___x___x900 (add_logical_carry_long,RXY,"ALCG"),
4429  /*E389*/ GENx___x___x900 (subtract_logical_borrow_long,RXY,"SLBG"),
4430  /*E38A*/ GENx___x___x___ ,
4431  /*E38B*/ GENx___x___x___ ,
4432  /*E38C*/ GENx___x___x___ ,
4433  /*E38D*/ GENx___x___x___ ,
4434  /*E38E*/ GENx___x___x900 (store_pair_to_quadword,RXY,"STPQ"),
4435  /*E38F*/ GENx___x___x900 (load_pair_from_quadword,RXY,"LPQ"),
4436  /*E390*/ GENx___x___x900 (load_logical_long_character,RXY,"LLGC"),
4437  /*E391*/ GENx___x___x900 (load_logical_long_halfword,RXY,"LLGH"),
4438  /*E392*/ GENx___x___x___ ,
4439  /*E393*/ GENx___x___x___ ,
4440  /*E394*/ GENx37Xx390x900 (load_logical_character,RXY,"LLC"),                      /*@Z9*/
4441  /*E395*/ GENx37Xx390x900 (load_logical_halfword,RXY,"LLH"),                       /*@Z9*/
4442  /*E396*/ GENx37Xx390x900 (multiply_logical,RXY,"ML"),
4443  /*E397*/ GENx37Xx390x900 (divide_logical,RXY,"DL"),
4444  /*E398*/ GENx37Xx390x900 (add_logical_carry,RXY,"ALC"),
4445  /*E399*/ GENx37Xx390x900 (subtract_logical_borrow,RXY,"SLB"),
4446  /*E39A*/ GENx___x___x___ ,
4447  /*E39B*/ GENx___x___x___ ,
4448  /*E39C*/ GENx___x___x900 (load_logical_long_thirtyone_and_trap,RXY,"LLGTAT"),     /*912*/
4449  /*E39D*/ GENx___x___x900 (load_logical_long_fullword_and_trap,RXY,"LLGFAT"),      /*912*/
4450  /*E39E*/ GENx___x___x___ ,
4451  /*E39F*/ GENx___x___x900 (load_and_trap,RXY,"LAT"),                               /*912*/
4452  /*E3A0*/ GENx___x___x___ ,
4453  /*E3A1*/ GENx___x___x___ ,
4454  /*E3A2*/ GENx___x___x___ ,
4455  /*E3A3*/ GENx___x___x___ ,
4456  /*E3E3*/ GENx___x___x___ ,
4457  /*E3A5*/ GENx___x___x___ ,
4458  /*E3A6*/ GENx___x___x___ ,
4459  /*E3A7*/ GENx___x___x___ ,
4460  /*E3A8*/ GENx___x___x___ ,
4461  /*E3A9*/ GENx___x___x___ ,
4462  /*E3AA*/ GENx___x___x___ ,
4463  /*E3AB*/ GENx___x___x___ ,
4464  /*E3AC*/ GENx___x___x___ ,
4465  /*E3AD*/ GENx___x___x___ ,
4466  /*E3AE*/ GENx___x___x___ ,
4467  /*E3AF*/ GENx___x___x___ ,
4468  /*E3B0*/ GENx___x___x___ ,
4469  /*E3B1*/ GENx___x___x___ ,
4470  /*E3B2*/ GENx___x___x___ ,
4471  /*E3B3*/ GENx___x___x___ ,
4472  /*E3B4*/ GENx___x___x___ ,
4473  /*E3B5*/ GENx___x___x___ ,
4474  /*E3B6*/ GENx___x___x___ ,
4475  /*E3B7*/ GENx___x___x___ ,
4476  /*E3B8*/ GENx___x___x___ ,
4477  /*E3E3*/ GENx___x___x___ ,
4478  /*E3BA*/ GENx___x___x___ ,
4479  /*E3BB*/ GENx___x___x___ ,
4480  /*E3BC*/ GENx___x___x___ ,
4481  /*E3BD*/ GENx___x___x___ ,
4482  /*E3BE*/ GENx___x___x___ ,
4483  /*E3BF*/ GENx___x___x___ ,
4484  /*E3C0*/ GENx___x___x900 (load_byte_high,RXY,"LBH"),                              /*810*/
4485  /*E3C1*/ GENx___x___x___ ,
4486  /*E3C2*/ GENx___x___x900 (load_logical_character_high,RXY,"LLCH"),                /*810*/
4487  /*E3C3*/ GENx___x___x900 (store_character_high,RXY,"STCH"),                       /*810*/
4488  /*E3C4*/ GENx___x___x900 (load_halfword_high,RXY,"LHH"),                          /*810*/
4489  /*E3C5*/ GENx___x___x___ ,
4490  /*E3C6*/ GENx___x___x900 (load_logical_halfword_high,RXY,"LLHH"),                 /*810*/
4491  /*E3C7*/ GENx___x___x900 (store_halfword_high,RXY,"STHH"),                        /*810*/
4492  /*E3C8*/ GENx___x___x900 (load_fullword_high_and_trap,RXY,"LFHAT"),               /*912*/
4493  /*E3C9*/ GENx___x___x___ ,
4494  /*E3CA*/ GENx___x___x900 (load_fullword_high,RXY,"LFH"),                          /*810*/
4495  /*E3CB*/ GENx___x___x900 (store_fullword_high,RXY,"STFH"),                        /*810*/
4496  /*E3CC*/ GENx___x___x___ ,
4497  /*E3CD*/ GENx___x___x900 (compare_high_fullword,RXY,"CHF"),                       /*810*/
4498  /*E3CE*/ GENx___x___x___ ,
4499  /*E3CF*/ GENx___x___x900 (compare_logical_high_fullword,RXY,"CLHF"),              /*810*/
4500  /*E3D0*/ GENx___x___x___ ,
4501  /*E3D1*/ GENx___x___x___ ,
4502  /*E3D2*/ GENx___x___x___ ,
4503  /*E3D3*/ GENx___x___x___ ,
4504  /*E3D4*/ GENx___x___x___ ,
4505  /*E3D5*/ GENx___x___x___ ,
4506  /*E3D6*/ GENx___x___x___ ,
4507  /*E3D7*/ GENx___x___x___ ,
4508  /*E3D8*/ GENx___x___x___ ,
4509  /*E3D9*/ GENx___x___x___ ,
4510  /*E3DA*/ GENx___x___x___ ,
4511  /*E3DB*/ GENx___x___x___ ,
4512  /*E3DC*/ GENx___x___x___ ,
4513  /*E3DD*/ GENx___x___x___ ,
4514  /*E3DE*/ GENx___x___x___ ,
4515  /*E3DF*/ GENx___x___x___ ,
4516  /*E3E0*/ GENx___x___x___ ,
4517  /*E3E1*/ GENx___x___x___ ,
4518  /*E3E2*/ GENx___x___x___ ,
4519  /*E3E3*/ GENx___x___x___ ,
4520  /*E3E4*/ GENx___x___x___ ,
4521  /*E3E5*/ GENx___x___x___ ,
4522  /*E3E6*/ GENx___x___x___ ,
4523  /*E3E7*/ GENx___x___x___ ,
4524  /*E3E8*/ GENx___x___x___ ,
4525  /*E3E9*/ GENx___x___x___ ,
4526  /*E3EA*/ GENx___x___x___ ,
4527  /*E3EB*/ GENx___x___x___ ,
4528  /*E3EC*/ GENx___x___x___ ,
4529  /*E3ED*/ GENx___x___x___ ,
4530  /*E3EE*/ GENx___x___x___ ,
4531  /*E3EF*/ GENx___x___x___ ,
4532  /*E3F0*/ GENx___x___x___ ,
4533  /*E3F1*/ GENx___x___x___ ,
4534  /*E3F2*/ GENx___x___x___ ,
4535  /*E3F3*/ GENx___x___x___ ,
4536  /*E3F4*/ GENx___x___x___ ,
4537  /*E3F5*/ GENx___x___x___ ,
4538  /*E3F6*/ GENx___x___x___ ,
4539  /*E3F7*/ GENx___x___x___ ,
4540  /*E3F8*/ GENx___x___x___ ,
4541  /*E3F9*/ GENx___x___x___ ,
4542  /*E3FA*/ GENx___x___x___ ,
4543  /*E3FB*/ GENx___x___x___ ,
4544  /*E3FC*/ GENx___x___x___ ,
4545  /*E3FD*/ GENx___x___x___ ,
4546  /*E3FE*/ GENx___x___x___ ,
4547  /*E3FF*/ GENx___x___x___  };
4548 
4549 // #endif /*defined(FEATURE_ESAME)*/
4550 
4551 DLL_EXPORT zz_func opcode_e5xx[256][GEN_MAXARCH] = {
4552  /*E500*/ GENx370x390x900 (load_address_space_parameters,SSE,"LASP"),
4553  /*E501*/ GENx370x390x900 (test_protection,SSE,"TPROT"),
4554  /* The following opcode has been re-used in z/Arch */
4555 #define s370_store_real_address s370_fix_page
4556  /*E502*/ GENx370x___x900 (store_real_address,SSE,"STRAG"),
4557  /*E503*/ GENx370x390x900 (svc_assist,SSE,"Assist"),
4558  /*E504*/ GENx370x390x900 (obtain_local_lock,SSE,"Assist"),
4559  /*E505*/ GENx370x390x900 (release_local_lock,SSE,"Assist"),
4560  /*E506*/ GENx370x390x900 (obtain_cms_lock,SSE,"Assist"),
4561  /*E507*/ GENx370x390x900 (release_cms_lock,SSE,"Assist"),
4562  /*E508*/ GENx370x___x___ (trace_svc_interruption,SSE,"Assist"),
4563  /*E509*/ GENx370x___x___ (trace_program_interruption,SSE,"Assist"),
4564  /*E50A*/ GENx370x___x___ (trace_initial_srb_dispatch,SSE,"Assist"),
4565  /*E50B*/ GENx370x___x___ (trace_io_interruption,SSE,"Assist"),
4566  /*E50C*/ GENx370x___x___ (trace_task_dispatch,SSE,"Assist"),
4567  /*E50D*/ GENx370x___x___ (trace_svc_return,SSE,"Assist"),
4568  /*E50E*/ GENx___x390x900 (move_with_source_key,SSE,"MVCSK"),
4569  /*E50F*/ GENx___x390x900 (move_with_destination_key,SSE,"MVCDK"),
4570  /*E510*/ GENx___x___x___ ,
4571  /*E511*/ GENx___x___x___ ,
4572  /*E512*/ GENx___x___x___ ,
4573  /*E513*/ GENx___x___x___ ,
4574  /*E514*/ GENx___x___x___ ,
4575  /*E515*/ GENx___x___x___ ,
4576  /*E516*/ GENx___x___x___ ,
4577  /*E517*/ GENx___x___x___ ,
4578  /*E518*/ GENx___x___x___ ,
4579  /*E519*/ GENx___x___x___ ,
4580  /*E51A*/ GENx___x___x___ ,
4581  /*E51B*/ GENx___x___x___ ,
4582  /*E51C*/ GENx___x___x___ ,
4583  /*E51D*/ GENx___x___x___ ,
4584  /*E51E*/ GENx___x___x___ ,
4585  /*E51F*/ GENx___x___x___ ,
4586  /*E520*/ GENx___x___x___ ,
4587  /*E521*/ GENx___x___x___ ,
4588  /*E522*/ GENx___x___x___ ,
4589  /*E523*/ GENx___x___x___ ,
4590  /*E524*/ GENx___x___x___ ,
4591  /*E525*/ GENx___x___x___ ,
4592  /*E526*/ GENx___x___x___ ,
4593  /*E527*/ GENx___x___x___ ,
4594  /*E528*/ GENx___x___x___ ,
4595  /*E529*/ GENx___x___x___ ,
4596  /*E52A*/ GENx___x___x___ ,
4597  /*E52B*/ GENx___x___x___ ,
4598  /*E52C*/ GENx___x___x___ ,
4599  /*E52D*/ GENx___x___x___ ,
4600  /*E52E*/ GENx___x___x___ ,
4601  /*E52F*/ GENx___x___x___ ,
4602  /*E530*/ GENx___x___x___ ,
4603  /*E531*/ GENx___x___x___ ,
4604  /*E532*/ GENx___x___x___ ,
4605  /*E533*/ GENx___x___x___ ,
4606  /*E534*/ GENx___x___x___ ,
4607  /*E535*/ GENx___x___x___ ,
4608  /*E536*/ GENx___x___x___ ,
4609  /*E537*/ GENx___x___x___ ,
4610  /*E538*/ GENx___x___x___ ,
4611  /*E539*/ GENx___x___x___ ,
4612  /*E53A*/ GENx___x___x___ ,
4613  /*E53B*/ GENx___x___x___ ,
4614  /*E53C*/ GENx___x___x___ ,
4615  /*E53D*/ GENx___x___x___ ,
4616  /*E53E*/ GENx___x___x___ ,
4617  /*E53F*/ GENx___x___x___ ,
4618  /*E540*/ GENx___x___x___ ,
4619  /*E541*/ GENx___x___x___ ,
4620  /*E542*/ GENx___x___x___ ,
4621  /*E543*/ GENx___x___x___ ,
4622  /*E544*/ GENx37Xx390x900 (move_halfword_from_halfword_immediate,SIL,"MVHHI"),     /*208*/
4623  /*E545*/ GENx___x___x___ ,
4624  /*E546*/ GENx___x___x___ ,
4625  /*E547*/ GENx___x___x___ ,
4626  /*E548*/ GENx37Xx390x900 (move_long_from_halfword_immediate,SIL,"MVGHI"),         /*208*/
4627  /*E549*/ GENx___x___x___ ,
4628  /*E54A*/ GENx___x___x___ ,
4629  /*E54B*/ GENx___x___x___ ,
4630  /*E54C*/ GENx37Xx390x900 (move_fullword_from_halfword_immediate,SIL,"MVHI"),      /*208*/
4631  /*E54D*/ GENx___x___x___ ,
4632  /*E54E*/ GENx___x___x___ ,
4633  /*E54F*/ GENx___x___x___ ,
4634  /*E550*/ GENx___x___x___ ,
4635  /*E551*/ GENx___x___x___ ,
4636  /*E552*/ GENx___x___x___ ,
4637  /*E553*/ GENx___x___x___ ,
4638  /*E554*/ GENx37Xx390x900 (compare_halfword_immediate_halfword_storage,SIL,"CHHSI"), /*208*/
4639  /*E555*/ GENx37Xx390x900 (compare_logical_immediate_halfword_storage,SIL,"CLHHSI"), /*208*/
4640  /*E556*/ GENx___x___x___ ,
4641  /*E557*/ GENx___x___x___ ,
4642  /*E558*/ GENx37Xx390x900 (compare_halfword_immediate_long_storage,SIL,"CGHSI"),   /*208*/
4643  /*E559*/ GENx37Xx390x900 (compare_logical_immediate_long_storage,SIL,"CLGHSI"),   /*208*/
4644  /*E55A*/ GENx___x___x___ ,
4645  /*E55B*/ GENx___x___x___ ,
4646  /*E55C*/ GENx37Xx390x900 (compare_halfword_immediate_storage,SIL,"CHSI"),         /*208*/
4647  /*E55D*/ GENx37Xx390x900 (compare_logical_immediate_fullword_storage,SIL,"CLFHSI"), /*208*/
4648  /*E55E*/ GENx___x___x___ ,
4649  /*E55F*/ GENx___x___x___ ,
4650  /*E560*/ GENx___x___x900 (transaction_begin,SIL,"TBEGIN"),                        /*912*/
4651  /*E561*/ GENx___x___x900 (transaction_begin_constrained,SIL,"TBEGINC"),           /*912*/
4652  /*E562*/ GENx___x___x___ ,
4653  /*E563*/ GENx___x___x___ ,
4654  /*E564*/ GENx___x___x___ ,
4655  /*E565*/ GENx___x___x___ ,
4656  /*E566*/ GENx___x___x___ ,
4657  /*E567*/ GENx___x___x___ ,
4658  /*E568*/ GENx___x___x___ ,
4659  /*E569*/ GENx___x___x___ ,
4660  /*E56A*/ GENx___x___x___ ,
4661  /*E56B*/ GENx___x___x___ ,
4662  /*E56C*/ GENx___x___x___ ,
4663  /*E56D*/ GENx___x___x___ ,
4664  /*E56E*/ GENx___x___x___ ,
4665  /*E56F*/ GENx___x___x___ ,
4666  /*E570*/ GENx___x___x___ ,
4667  /*E571*/ GENx___x___x___ ,
4668  /*E572*/ GENx___x___x___ ,
4669  /*E573*/ GENx___x___x___ ,
4670  /*E574*/ GENx___x___x___ ,
4671  /*E575*/ GENx___x___x___ ,
4672  /*E576*/ GENx___x___x___ ,
4673  /*E577*/ GENx___x___x___ ,
4674  /*E578*/ GENx___x___x___ ,
4675  /*E579*/ GENx___x___x___ ,
4676  /*E57A*/ GENx___x___x___ ,
4677  /*E57B*/ GENx___x___x___ ,
4678  /*E57C*/ GENx___x___x___ ,
4679  /*E57D*/ GENx___x___x___ ,
4680  /*E57E*/ GENx___x___x___ ,
4681  /*E57F*/ GENx___x___x___ ,
4682  /*E580*/ GENx___x___x___ ,
4683  /*E581*/ GENx___x___x___ ,
4684  /*E582*/ GENx___x___x___ ,
4685  /*E583*/ GENx___x___x___ ,
4686  /*E584*/ GENx___x___x___ ,
4687  /*E585*/ GENx___x___x___ ,
4688  /*E586*/ GENx___x___x___ ,
4689  /*E587*/ GENx___x___x___ ,
4690  /*E588*/ GENx___x___x___ ,
4691  /*E589*/ GENx___x___x___ ,
4692  /*E58A*/ GENx___x___x___ ,
4693  /*E58B*/ GENx___x___x___ ,
4694  /*E58C*/ GENx___x___x___ ,
4695  /*E58D*/ GENx___x___x___ ,
4696  /*E58E*/ GENx___x___x___ ,
4697  /*E58F*/ GENx___x___x___ ,
4698  /*E590*/ GENx___x___x___ ,
4699  /*E591*/ GENx___x___x___ ,
4700  /*E592*/ GENx___x___x___ ,
4701  /*E593*/ GENx___x___x___ ,
4702  /*E594*/ GENx___x___x___ ,
4703  /*E595*/ GENx___x___x___ ,
4704  /*E596*/ GENx___x___x___ ,
4705  /*E597*/ GENx___x___x___ ,
4706  /*E598*/ GENx___x___x___ ,
4707  /*E599*/ GENx___x___x___ ,
4708  /*E59A*/ GENx___x___x___ ,
4709  /*E59B*/ GENx___x___x___ ,
4710  /*E59C*/ GENx___x___x___ ,
4711  /*E59D*/ GENx___x___x___ ,
4712  /*E59E*/ GENx___x___x___ ,
4713  /*E59F*/ GENx___x___x___ ,
4714  /*E5A0*/ GENx___x___x___ ,
4715  /*E5A1*/ GENx___x___x___ ,
4716  /*E5A2*/ GENx___x___x___ ,
4717  /*E5A3*/ GENx___x___x___ ,
4718  /*E5A4*/ GENx___x___x___ ,
4719  /*E5A5*/ GENx___x___x___ ,
4720  /*E5A6*/ GENx___x___x___ ,
4721  /*E5A7*/ GENx___x___x___ ,
4722  /*E5A8*/ GENx___x___x___ ,
4723  /*E5A9*/ GENx___x___x___ ,
4724  /*E5AA*/ GENx___x___x___ ,
4725  /*E5AB*/ GENx___x___x___ ,
4726  /*E5AC*/ GENx___x___x___ ,
4727  /*E5AD*/ GENx___x___x___ ,
4728  /*E5AE*/ GENx___x___x___ ,
4729  /*E5AF*/ GENx___x___x___ ,
4730  /*E5B0*/ GENx___x___x___ ,
4731  /*E5B1*/ GENx___x___x___ ,
4732  /*E5B2*/ GENx___x___x___ ,
4733  /*E5B3*/ GENx___x___x___ ,
4734  /*E5B4*/ GENx___x___x___ ,
4735  /*E5B5*/ GENx___x___x___ ,
4736  /*E5B6*/ GENx___x___x___ ,
4737  /*E5B7*/ GENx___x___x___ ,
4738  /*E5B8*/ GENx___x___x___ ,
4739  /*E5B9*/ GENx___x___x___ ,
4740  /*E5BA*/ GENx___x___x___ ,
4741  /*E5BB*/ GENx___x___x___ ,
4742  /*E5BC*/ GENx___x___x___ ,
4743  /*E5BD*/ GENx___x___x___ ,
4744  /*E5BE*/ GENx___x___x___ ,
4745  /*E5BF*/ GENx___x___x___ ,
4746  /*E5C0*/ GENx___x___x___ ,
4747  /*E5C1*/ GENx___x___x___ ,
4748  /*E5C2*/ GENx___x___x___ ,
4749  /*E5C3*/ GENx___x___x___ ,
4750  /*E5C4*/ GENx___x___x___ ,
4751  /*E5C5*/ GENx___x___x___ ,
4752  /*E5C6*/ GENx___x___x___ ,
4753  /*E5C7*/ GENx___x___x___ ,
4754  /*E5C8*/ GENx___x___x___ ,
4755  /*E5C9*/ GENx___x___x___ ,
4756  /*E5CA*/ GENx___x___x___ ,
4757  /*E5CB*/ GENx___x___x___ ,
4758  /*E5CC*/ GENx___x___x___ ,
4759  /*E5CD*/ GENx___x___x___ ,
4760  /*E5CE*/ GENx___x___x___ ,
4761  /*E5CF*/ GENx___x___x___ ,
4762  /*E5D0*/ GENx___x___x___ ,
4763  /*E5D1*/ GENx___x___x___ ,
4764  /*E5D2*/ GENx___x___x___ ,
4765  /*E5D3*/ GENx___x___x___ ,
4766  /*E5D4*/ GENx___x___x___ ,
4767  /*E5D5*/ GENx___x___x___ ,
4768  /*E5D6*/ GENx___x___x___ ,
4769  /*E5D7*/ GENx___x___x___ ,
4770  /*E5D8*/ GENx___x___x___ ,
4771  /*E5D9*/ GENx___x___x___ ,
4772  /*E5DA*/ GENx___x___x___ ,
4773  /*E5DB*/ GENx___x___x___ ,
4774  /*E5DC*/ GENx___x___x___ ,
4775  /*E5DD*/ GENx___x___x___ ,
4776  /*E5DE*/ GENx___x___x___ ,
4777  /*E5DF*/ GENx___x___x___ ,
4778  /*E5E0*/ GENx___x___x___ ,
4779  /*E5E1*/ GENx___x___x___ ,
4780  /*E5E2*/ GENx___x___x___ ,
4781  /*E5E3*/ GENx___x___x___ ,
4782  /*E5E4*/ GENx___x___x___ ,
4783  /*E5E5*/ GENx___x___x___ ,
4784  /*E5E6*/ GENx___x___x___ ,
4785  /*E5E7*/ GENx___x___x___ ,
4786  /*E5E8*/ GENx___x___x___ ,
4787  /*E5E9*/ GENx___x___x___ ,
4788  /*E5EA*/ GENx___x___x___ ,
4789  /*E5EB*/ GENx___x___x___ ,
4790  /*E5EC*/ GENx___x___x___ ,
4791  /*E5ED*/ GENx___x___x___ ,
4792  /*E5EE*/ GENx___x___x___ ,
4793  /*E5EF*/ GENx___x___x___ ,
4794  /*E5F0*/ GENx___x___x___ ,
4795  /*E5F1*/ GENx___x___x___ ,
4796  /*E5F2*/ GENx___x___x___ ,
4797  /*E5F3*/ GENx___x___x___ ,
4798  /*E5F4*/ GENx___x___x___ ,
4799  /*E5F5*/ GENx___x___x___ ,
4800  /*E5F6*/ GENx___x___x___ ,
4801  /*E5F7*/ GENx___x___x___ ,
4802  /*E5F8*/ GENx___x___x___ ,
4803  /*E5F9*/ GENx___x___x___ ,
4804  /*E5FA*/ GENx___x___x___ ,
4805  /*E5FB*/ GENx___x___x___ ,
4806  /*E5FC*/ GENx___x___x___ ,
4807  /*E5FD*/ GENx___x___x___ ,
4808  /*E5FE*/ GENx___x___x___ ,
4809  /*E5FF*/ GENx___x___x___  };
4810 
4811 DLL_EXPORT zz_func opcode_e6xx[256][GEN_MAXARCH] = {
4812  /*E600*/ GENx370x___x___ (ecpsvm_basic_freex,SSE,"FREE"),
4813  /*E601*/ GENx370x___x___ (ecpsvm_basic_fretx,SSE,"FRET"),
4814  /*E602*/ GENx370x___x___ (ecpsvm_lock_page,SSE,"VLKPG"),
4815  /*E603*/ GENx370x___x___ (ecpsvm_unlock_page,SSE,"VULKP"),
4816  /*E604*/ GENx370x___x___ (ecpsvm_decode_next_ccw,SSE,"DNCCW"),
4817  /*E605*/ GENx370x___x___ (ecpsvm_free_ccwstor,SSE,"FCCWS"),
4818  /*E606*/ GENx370x___x___ (ecpsvm_locate_vblock,SSE,"SCNVU"),
4819  /*E607*/ GENx370x___x___ (ecpsvm_disp1,SSE,"ECPS:DISP1"),
4820  /*E608*/ GENx370x___x___ (ecpsvm_tpage,SSE,"ECPS:TRBRG"),
4821  /*E609*/ GENx370x___x___ (ecpsvm_tpage_lock,SSE,"TRLCK"),
4822  /*E60A*/ GENx370x___x___ (ecpsvm_inval_segtab,SSE,"VIST"),
4823  /*E60B*/ GENx370x___x___ (ecpsvm_inval_ptable,SSE,"VIPT"),
4824  /*E60C*/ GENx370x___x___ (ecpsvm_decode_first_ccw,SSE,"DFCCW"),
4825  /*E60D*/ GENx370x___x___ (ecpsvm_dispatch_main,SSE,"DISP0"),
4826  /*E60E*/ GENx370x___x___ (ecpsvm_locate_rblock,SSE,"SCNRU"),
4827  /*E60F*/ GENx370x___x___ (ecpsvm_comm_ccwproc,SSE,"CCWGN"),
4828  /*E610*/ GENx370x___x___ (ecpsvm_unxlate_ccw,SSE,"UXCCW"),
4829  /*E611*/ GENx370x___x___ (ecpsvm_disp2,SSE,"DISP2"),
4830  /*E612*/ GENx370x___x___ (ecpsvm_store_level,SSE,"STEVL"),
4831  /*E613*/ GENx370x___x___ (ecpsvm_loc_chgshrpg,SSE,"LCSPG"),
4832  /*E614*/ GENx370x___x___ (ecpsvm_extended_freex,SSE,"FREEX"),
4833  /*E615*/ GENx370x___x___ (ecpsvm_extended_fretx,SSE,"FRETX"),
4834  /*E616*/ GENx370x___x___ (ecpsvm_prefmach_assist,SSE,"PRFMA"),
4835  /*E617*/ GENx___x___x___ ,
4836  /*E618*/ GENx___x___x___ ,
4837  /*E619*/ GENx___x___x___ ,
4838  /*E61A*/ GENx___x___x___ ,
4839  /*E61B*/ GENx___x___x___ ,
4840  /*E61C*/ GENx___x___x___ ,
4841  /*E61D*/ GENx___x___x___ ,
4842  /*E61E*/ GENx___x___x___ ,
4843  /*E61F*/ GENx___x___x___ ,
4844  /*E620*/ GENx___x___x___ ,
4845  /*E621*/ GENx___x___x___ ,
4846  /*E622*/ GENx___x___x___ ,
4847  /*E623*/ GENx___x___x___ ,
4848  /*E624*/ GENx___x___x___ ,
4849  /*E625*/ GENx___x___x___ ,
4850  /*E626*/ GENx___x___x___ ,
4851  /*E627*/ GENx___x___x___ ,
4852  /*E628*/ GENx___x___x___ ,
4853  /*E629*/ GENx___x___x___ ,
4854  /*E62A*/ GENx___x___x___ ,
4855  /*E62B*/ GENx___x___x___ ,
4856  /*E62C*/ GENx___x___x___ ,
4857  /*E62D*/ GENx___x___x___ ,
4858  /*E62E*/ GENx___x___x___ ,
4859  /*E62F*/ GENx___x___x___ ,
4860  /*E630*/ GENx___x___x___ ,
4861  /*E631*/ GENx___x___x___ ,
4862  /*E632*/ GENx___x___x___ ,
4863  /*E633*/ GENx___x___x___ ,
4864  /*E634*/ GENx___x___x___ ,
4865  /*E635*/ GENx___x___x___ ,
4866  /*E636*/ GENx___x___x___ ,
4867  /*E637*/ GENx___x___x___ ,
4868  /*E638*/ GENx___x___x___ ,
4869  /*E639*/ GENx___x___x___ ,
4870  /*E63A*/ GENx___x___x___ ,
4871  /*E63B*/ GENx___x___x___ ,
4872  /*E63C*/ GENx___x___x___ ,
4873  /*E63D*/ GENx___x___x___ ,
4874  /*E63E*/ GENx___x___x___ ,
4875  /*E63F*/ GENx___x___x___ ,
4876  /*E640*/ GENx___x___x___ ,
4877  /*E641*/ GENx___x___x___ ,
4878  /*E642*/ GENx___x___x___ ,
4879  /*E643*/ GENx___x___x___ ,
4880  /*E644*/ GENx___x___x___ ,
4881  /*E645*/ GENx___x___x___ ,
4882  /*E646*/ GENx___x___x___ ,
4883  /*E647*/ GENx___x___x___ ,
4884  /*E648*/ GENx___x___x___ ,
4885  /*E649*/ GENx___x___x___ ,
4886  /*E64A*/ GENx___x___x___ ,
4887  /*E64B*/ GENx___x___x___ ,
4888  /*E64C*/ GENx___x___x___ ,
4889  /*E64D*/ GENx___x___x___ ,
4890  /*E64E*/ GENx___x___x___ ,
4891  /*E64F*/ GENx___x___x___ ,
4892  /*E650*/ GENx___x___x___ ,
4893  /*E651*/ GENx___x___x___ ,
4894  /*E652*/ GENx___x___x___ ,
4895  /*E653*/ GENx___x___x___ ,
4896  /*E654*/ GENx___x___x___ ,
4897  /*E655*/ GENx___x___x___ ,
4898  /*E656*/ GENx___x___x___ ,
4899  /*E657*/ GENx___x___x___ ,
4900  /*E658*/ GENx___x___x___ ,
4901  /*E659*/ GENx___x___x___ ,
4902  /*E65A*/ GENx___x___x___ ,
4903  /*E65B*/ GENx___x___x___ ,
4904  /*E65C*/ GENx___x___x___ ,
4905  /*E65D*/ GENx___x___x___ ,
4906  /*E65E*/ GENx___x___x___ ,
4907  /*E65F*/ GENx___x___x___ ,
4908  /*E660*/ GENx___x___x___ ,
4909  /*E661*/ GENx___x___x___ ,
4910  /*E662*/ GENx___x___x___ ,
4911  /*E663*/ GENx___x___x___ ,
4912  /*E664*/ GENx___x___x___ ,
4913  /*E665*/ GENx___x___x___ ,
4914  /*E666*/ GENx___x___x___ ,
4915  /*E667*/ GENx___x___x___ ,
4916  /*E668*/ GENx___x___x___ ,
4917  /*E669*/ GENx___x___x___ ,
4918  /*E66A*/ GENx___x___x___ ,
4919  /*E66B*/ GENx___x___x___ ,
4920  /*E66C*/ GENx___x___x___ ,
4921  /*E66D*/ GENx___x___x___ ,
4922  /*E66E*/ GENx___x___x___ ,
4923  /*E66F*/ GENx___x___x___ ,
4924  /*E670*/ GENx___x___x___ ,
4925  /*E671*/ GENx___x___x___ ,
4926  /*E672*/ GENx___x___x___ ,
4927  /*E673*/ GENx___x___x___ ,
4928  /*E674*/ GENx___x___x___ ,
4929  /*E675*/ GENx___x___x___ ,
4930  /*E676*/ GENx___x___x___ ,
4931  /*E677*/ GENx___x___x___ ,
4932  /*E678*/ GENx___x___x___ ,
4933  /*E679*/ GENx___x___x___ ,
4934  /*E67A*/ GENx___x___x___ ,
4935  /*E67B*/ GENx___x___x___ ,
4936  /*E67C*/ GENx___x___x___ ,
4937  /*E67D*/ GENx___x___x___ ,
4938  /*E67E*/ GENx___x___x___ ,
4939  /*E67F*/ GENx___x___x___ ,
4940  /*E680*/ GENx___x___x___ ,
4941  /*E681*/ GENx___x___x___ ,
4942  /*E682*/ GENx___x___x___ ,
4943  /*E683*/ GENx___x___x___ ,
4944  /*E684*/ GENx___x___x___ ,
4945  /*E685*/ GENx___x___x___ ,
4946  /*E686*/ GENx___x___x___ ,
4947  /*E687*/ GENx___x___x___ ,
4948  /*E688*/ GENx___x___x___ ,
4949  /*E689*/ GENx___x___x___ ,
4950  /*E68A*/ GENx___x___x___ ,
4951  /*E68B*/ GENx___x___x___ ,
4952  /*E68C*/ GENx___x___x___ ,
4953  /*E68D*/ GENx___x___x___ ,
4954  /*E68E*/ GENx___x___x___ ,
4955  /*E68F*/ GENx___x___x___ ,
4956  /*E690*/ GENx___x___x___ ,
4957  /*E691*/ GENx___x___x___ ,
4958  /*E692*/ GENx___x___x___ ,
4959  /*E693*/ GENx___x___x___ ,
4960  /*E694*/ GENx___x___x___ ,
4961  /*E695*/ GENx___x___x___ ,
4962  /*E696*/ GENx___x___x___ ,
4963  /*E697*/ GENx___x___x___ ,
4964  /*E698*/ GENx___x___x___ ,
4965  /*E699*/ GENx___x___x___ ,
4966  /*E69A*/ GENx___x___x___ ,
4967  /*E69B*/ GENx___x___x___ ,
4968  /*E69C*/ GENx___x___x___ ,
4969  /*E69D*/ GENx___x___x___ ,
4970  /*E69E*/ GENx___x___x___ ,
4971  /*E69F*/ GENx___x___x___ ,
4972  /*E6A0*/ GENx___x___x___ ,
4973  /*E6A1*/ GENx___x___x___ ,
4974  /*E6A2*/ GENx___x___x___ ,
4975  /*E6A3*/ GENx___x___x___ ,
4976  /*E6A4*/ GENx___x___x___ ,
4977  /*E6A5*/ GENx___x___x___ ,
4978  /*E6A6*/ GENx___x___x___ ,
4979  /*E6A7*/ GENx___x___x___ ,
4980  /*E6A8*/ GENx___x___x___ ,
4981  /*E6A9*/ GENx___x___x___ ,
4982  /*E6AA*/ GENx___x___x___ ,
4983  /*E6AB*/ GENx___x___x___ ,
4984  /*E6AC*/ GENx___x___x___ ,
4985  /*E6AD*/ GENx___x___x___ ,
4986  /*E6AE*/ GENx___x___x___ ,
4987  /*E6AF*/ GENx___x___x___ ,
4988  /*E6B0*/ GENx___x___x___ ,
4989  /*E6B1*/ GENx___x___x___ ,
4990  /*E6B2*/ GENx___x___x___ ,
4991  /*E6B3*/ GENx___x___x___ ,
4992  /*E6B4*/ GENx___x___x___ ,
4993  /*E6B5*/ GENx___x___x___ ,
4994  /*E6B6*/ GENx___x___x___ ,
4995  /*E6B7*/ GENx___x___x___ ,
4996  /*E6B8*/ GENx___x___x___ ,
4997  /*E6B9*/ GENx___x___x___ ,
4998  /*E6BA*/ GENx___x___x___ ,
4999  /*E6BB*/ GENx___x___x___ ,
5000  /*E6BC*/ GENx___x___x___ ,
5001  /*E6BD*/ GENx___x___x___ ,
5002  /*E6BE*/ GENx___x___x___ ,
5003  /*E6BF*/ GENx___x___x___ ,
5004  /*E6C0*/ GENx___x___x___ ,
5005  /*E6C1*/ GENx___x___x___ ,
5006  /*E6C2*/ GENx___x___x___ ,
5007  /*E6C3*/ GENx___x___x___ ,
5008  /*E6C4*/ GENx___x___x___ ,
5009  /*E6C5*/ GENx___x___x___ ,
5010  /*E6C6*/ GENx___x___x___ ,
5011  /*E6C7*/ GENx___x___x___ ,
5012  /*E6C8*/ GENx___x___x___ ,
5013  /*E6C9*/ GENx___x___x___ ,
5014  /*E6CA*/ GENx___x___x___ ,
5015  /*E6CB*/ GENx___x___x___ ,
5016  /*E6CC*/ GENx___x___x___ ,
5017  /*E6CD*/ GENx___x___x___ ,
5018  /*E6CE*/ GENx___x___x___ ,
5019  /*E6CF*/ GENx___x___x___ ,
5020  /*E6D0*/ GENx___x___x___ ,
5021  /*E6D1*/ GENx___x___x___ ,
5022  /*E6D2*/ GENx___x___x___ ,
5023  /*E6D3*/ GENx___x___x___ ,
5024  /*E6D4*/ GENx___x___x___ ,
5025  /*E6D5*/ GENx___x___x___ ,
5026  /*E6D6*/ GENx___x___x___ ,
5027  /*E6D7*/ GENx___x___x___ ,
5028  /*E6D8*/ GENx___x___x___ ,
5029  /*E6D9*/ GENx___x___x___ ,
5030  /*E6DA*/ GENx___x___x___ ,
5031  /*E6DB*/ GENx___x___x___ ,
5032  /*E6DC*/ GENx___x___x___ ,
5033  /*E6DD*/ GENx___x___x___ ,
5034  /*E6DE*/ GENx___x___x___ ,
5035  /*E6DF*/ GENx___x___x___ ,
5036  /*E6E0*/ GENx___x___x___ ,
5037  /*E6E1*/ GENx___x___x___ ,
5038  /*E6E2*/ GENx___x___x___ ,
5039  /*E6E3*/ GENx___x___x___ ,
5040  /*E6E4*/ GENx___x___x___ ,
5041  /*E6E5*/ GENx___x___x___ ,
5042  /*E6E6*/ GENx___x___x___ ,
5043  /*E6E7*/ GENx___x___x___ ,
5044  /*E6E8*/ GENx___x___x___ ,
5045  /*E6E9*/ GENx___x___x___ ,
5046  /*E6EA*/ GENx___x___x___ ,
5047  /*E6EB*/ GENx___x___x___ ,
5048  /*E6EC*/ GENx___x___x___ ,
5049  /*E6ED*/ GENx___x___x___ ,
5050  /*E6EE*/ GENx___x___x___ ,
5051  /*E6EF*/ GENx___x___x___ ,
5052  /*E6F0*/ GENx___x___x___ ,
5053  /*E6F1*/ GENx___x___x___ ,
5054  /*E6F2*/ GENx___x___x___ ,
5055  /*E6F3*/ GENx___x___x___ ,
5056  /*E6F4*/ GENx___x___x___ ,
5057  /*E6F5*/ GENx___x___x___ ,
5058  /*E6F6*/ GENx___x___x___ ,
5059  /*E6F7*/ GENx___x___x___ ,
5060  /*E6F8*/ GENx___x___x___ ,
5061  /*E6F9*/ GENx___x___x___ ,
5062  /*E6FA*/ GENx___x___x___ ,
5063  /*E6FB*/ GENx___x___x___ ,
5064  /*E6FC*/ GENx___x___x___ ,
5065  /*E6FD*/ GENx___x___x___ ,
5066  /*E6FE*/ GENx___x___x___ ,
5067  /*E6FF*/ GENx___x___x___  };
5068 
5069 // #if defined(FEATURE_ESAME)
5070 
5071 /* opcodes EBxxxxxx00 */
5072 DLL_EXPORT zz_func opcode_ebxx[256][GEN_MAXARCH] = {
5073  /*EB00*/ GENx___x___x___ ,
5074  /*EB01*/ GENx___x___x___ ,
5075  /*EB02*/ GENx___x___x___ ,
5076  /*EB03*/ GENx___x___x___ ,
5077  /*EB04*/ GENx___x___x900 (load_multiple_long,RSY,"LMG"),
5078  /*EB05*/ GENx___x___x___ ,
5079  /*EB06*/ GENx___x___x___ ,
5080  /*EB07*/ GENx___x___x___ ,
5081  /*EB08*/ GENx___x___x___ ,
5082  /*EB09*/ GENx___x___x___ ,
5083  /*EB0A*/ GENx___x___x900 (shift_right_single_long,RSY,"SRAG"),
5084  /*EB0B*/ GENx___x___x900 (shift_left_single_long,RSY,"SLAG"),
5085  /*EB0C*/ GENx___x___x900 (shift_right_single_logical_long,RSY,"SRLG"),
5086  /*EB0D*/ GENx___x___x900 (shift_left_single_logical_long,RSY,"SLLG"),
5087  /*EB0E*/ GENx___x___x___ ,
5088  /*EB0F*/ GENx___x___x900 (trace_long,RSY,"TRACG"),
5089  /*EB10*/ GENx___x___x___ ,
5090  /*EB11*/ GENx___x___x___ ,
5091  /*EB12*/ GENx___x___x___ ,
5092  /*EB13*/ GENx___x___x___ ,
5093  /*EB14*/ GENx___x___x900 (compare_and_swap_y,RSY,"CSY"),
5094  /*EB15*/ GENx___x___x___ ,
5095  /*EB16*/ GENx___x___x___ ,
5096  /*EB17*/ GENx___x___x___ ,
5097  /*EB18*/ GENx___x___x___ ,
5098  /*EB19*/ GENx___x___x___ ,
5099  /*EB1A*/ GENx___x___x___ ,
5100  /*EB1B*/ GENx___x___x___ ,
5101  /*EB1C*/ GENx___x___x900 (rotate_left_single_logical_long,RSY,"RLLG"),
5102  /*EB1D*/ GENx37Xx390x900 (rotate_left_single_logical,RSY,"RLL"),
5103  /*EB1E*/ GENx___x___x___ ,
5104  /*EB1F*/ GENx___x___x___ ,
5105  /*EB20*/ GENx___x___x900 (compare_logical_characters_under_mask_high,RSY,"CLMH"),
5106  /*EB21*/ GENx___x___x900 (compare_logical_characters_under_mask_y,RSY,"CLMY"),
5107  /*EB22*/ GENx___x___x___ ,
5108  /*EB23*/ GENx___x___x900 (compare_logical_and_trap,RSY,"CLT"),                    /*912*/
5109  /*EB24*/ GENx___x___x900 (store_multiple_long,RSY,"STMG"),
5110  /*EB25*/ GENx___x___x900 (store_control_long,RSY,"STCTG"),
5111  /*EB26*/ GENx___x___x900 (store_multiple_high,RSY,"STMH"),
5112  /*EB27*/ GENx___x___x___ ,
5113  /*EB28*/ GENx___x___x___ ,
5114  /*EB29*/ GENx___x___x___ ,
5115  /*EB2A*/ GENx___x___x___ ,
5116  /*EB2B*/ GENx___x___x900 (compare_logical_and_trap_long,RSY,"CLGT"),              /*912*/
5117  /*EB2C*/ GENx___x___x900 (store_characters_under_mask_high,RSY,"STCMH"),
5118  /*EB2D*/ GENx___x___x900 (store_characters_under_mask_y,RSY,"STCMY"),
5119  /*EB2E*/ GENx___x___x___ ,
5120  /*EB2F*/ GENx___x___x900 (load_control_long,RSY,"LCTLG"),
5121  /*EB30*/ GENx___x___x900 (compare_and_swap_long,RSY,"CSG"),
5122  /*EB31*/ GENx___x___x900 (compare_double_and_swap_y,RSY,"CDSY"),
5123  /*EB32*/ GENx___x___x___ ,
5124  /*EB33*/ GENx___x___x___ ,
5125  /*EB34*/ GENx___x___x___ ,
5126  /*EB35*/ GENx___x___x___ ,
5127  /*EB36*/ GENx___x___x___ ,
5128  /*EB37*/ GENx___x___x___ ,
5129  /*EB38*/ GENx___x___x___ ,
5130  /*EB39*/ GENx___x___x___ ,
5131  /*EB3A*/ GENx___x___x___ ,
5132  /*EB3B*/ GENx___x___x___ ,
5133  /*EB3C*/ GENx___x___x___ ,
5134  /*EB3D*/ GENx___x___x___ ,
5135  /*EB3E*/ GENx___x___x900 (compare_double_and_swap_long,RSY,"CDSG"),
5136  /*EB3F*/ GENx___x___x___ ,
5137  /*EB40*/ GENx___x___x___ ,
5138  /*EB41*/ GENx___x___x___ ,
5139  /*EB42*/ GENx___x___x___ ,
5140  /*EB43*/ GENx___x___x___ ,
5141  /*EB44*/ GENx___x___x900 (branch_on_index_high_long,RSY,"BXHG"),
5142  /*EB45*/ GENx___x___x900 (branch_on_index_low_or_equal_long,RSY,"BXLEG"),
5143  /*EB46*/ GENx___x___x___ ,
5144  /*EB47*/ GENx___x___x___ ,
5145  /*EB48*/ GENx___x___x___ ,
5146  /*EB49*/ GENx___x___x___ ,
5147  /*EB4A*/ GENx___x___x___ ,
5148  /*EB4B*/ GENx___x___x___ ,
5149  /*EB4C*/ GENx___x___x900 (extract_cache_attribute,RSY,"ECAG"),                    /*208*/
5150  /*EB4D*/ GENx___x___x___ ,
5151  /*EB4E*/ GENx___x___x___ ,
5152  /*EB4F*/ GENx___x___x___ ,
5153  /*EB50*/ GENx___x___x___ ,
5154  /*EB51*/ GENx___x___x900 (test_under_mask_y,SIY,"TMY"),
5155  /*EB52*/ GENx___x___x900 (move_immediate_y,SIY,"MVIY"),
5156  /*EB53*/ GENx___x___x___ ,
5157  /*EB54*/ GENx___x___x900 (and_immediate_y,SIY,"NIY"),
5158  /*EB55*/ GENx___x___x900 (compare_logical_immediate_y,SIY,"CLIY"),
5159  /*EB56*/ GENx___x___x900 (or_immediate_y,SIY,"OIY"),
5160  /*EB57*/ GENx___x___x900 (exclusive_or_immediate_y,SIY,"XIY"),
5161  /*EB58*/ GENx___x___x___ ,
5162  /*EB59*/ GENx___x___x___ ,
5163  /*EB5A*/ GENx___x___x___ ,
5164  /*EB5B*/ GENx___x___x___ ,
5165  /*EB5C*/ GENx___x___x___ ,
5166  /*EB5D*/ GENx___x___x___ ,
5167  /*EB5E*/ GENx___x___x___ ,
5168  /*EB5F*/ GENx___x___x___ ,
5169  /*EB60*/ GENx___x___x___ ,
5170  /*EB61*/ GENx___x___x___ ,
5171  /*EB62*/ GENx___x___x___ ,
5172  /*EB63*/ GENx___x___x___ ,
5173  /*EB64*/ GENx___x___x___ ,
5174  /*EB65*/ GENx___x___x___ ,
5175  /*EB66*/ GENx___x___x___ ,
5176  /*EB67*/ GENx___x___x___ ,
5177  /*EB68*/ GENx___x___x___ ,
5178  /*EB69*/ GENx___x___x___ ,
5179  /*EB6A*/ GENx37Xx390x900 (add_immediate_storage,SIY,"ASI"),                       /*208*/
5180  /*EB6B*/ GENx___x___x___ ,
5181  /*EB6C*/ GENx___x___x___ ,
5182  /*EB6D*/ GENx___x___x___ ,
5183  /*EB6E*/ GENx37Xx390x900 (add_logical_with_signed_immediate,SIY,"ALSI"),          /*208*/
5184  /*EB6F*/ GENx___x___x___ ,
5185  /*EB70*/ GENx___x___x___ ,
5186  /*EB71*/ GENx___x___x___ ,
5187  /*EB72*/ GENx___x___x___ ,
5188  /*EB73*/ GENx___x___x___ ,
5189  /*EB74*/ GENx___x___x___ ,
5190  /*EB75*/ GENx___x___x___ ,
5191  /*EB76*/ GENx___x___x___ ,
5192  /*EB77*/ GENx___x___x___ ,
5193  /*EB78*/ GENx___x___x___ ,
5194  /*EB79*/ GENx___x___x___ ,
5195  /*EB7A*/ GENx37Xx390x900 (add_immediate_long_storage,SIY,"AGSI"),                 /*208*/
5196  /*EB7B*/ GENx___x___x___ ,
5197  /*EB7C*/ GENx___x___x___ ,
5198  /*EB7D*/ GENx___x___x___ ,
5199  /*EB7E*/ GENx37Xx390x900 (add_logical_with_signed_immediate_long,SIY,"ALGSI"),    /*208*/
5200  /*EB7F*/ GENx___x___x___ ,
5201  /*EB80*/ GENx___x___x900 (insert_characters_under_mask_high,RSY,"ICMH"),
5202  /*EB81*/ GENx___x___x900 (insert_characters_under_mask_y,RSY,"ICMY"),
5203  /*EB82*/ GENx___x___x___ ,
5204  /*EB83*/ GENx___x___x___ ,
5205  /*EB84*/ GENx___x___x___ ,
5206  /*EB85*/ GENx___x___x___ ,
5207  /*EB86*/ GENx___x___x___ ,
5208  /*EB87*/ GENx___x___x___ ,
5209  /*EB88*/ GENx___x___x___ ,
5210  /*EB89*/ GENx___x___x___ ,
5211  /*EB8A*/ GENx___x___x___ , /*(set_qdio_buffer_state,?,"SQBS"),*/
5212  /*EB8B*/ GENx___x___x___ ,
5213  /*EB8C*/ GENx___x___x___ ,
5214  /*EB8D*/ GENx___x___x___ ,
5215  /*EB8E*/ GENx37Xx390x900 (move_long_unicode,RSY,"MVCLU"),
5216  /*EB8F*/ GENx37Xx390x900 (compare_logical_long_unicode,RSY,"CLCLU"),
5217  /*EB90*/ GENx___x___x900 (store_multiple_y,RSY,"STMY"),
5218  /*EB91*/ GENx___x___x___ ,
5219  /*EB92*/ GENx___x___x___ ,
5220  /*EB93*/ GENx___x___x___ ,
5221  /*EB94*/ GENx___x___x___ ,
5222  /*EB95*/ GENx___x___x___ ,
5223  /*EB96*/ GENx___x___x900 (load_multiple_high,RSY,"LMH"),
5224  /*EB97*/ GENx___x___x___ ,
5225  /*EB98*/ GENx___x___x900 (load_multiple_y,RSY,"LMY"),
5226  /*EB99*/ GENx___x___x___ ,
5227  /*EB9A*/ GENx___x___x900 (load_access_multiple_y,RSY,"LAMY"),
5228  /*EB9B*/ GENx___x___x900 (store_access_multiple_y,RSY,"STAMY"),
5229  /*EB9C*/ GENx___x___x___ ,
5230  /*EB9D*/ GENx___x___x___ ,
5231  /*EB9E*/ GENx___x___x___ ,
5232  /*EB9F*/ GENx___x___x___ ,
5233  /*EBA0*/ GENx___x___x___ ,
5234  /*EBA1*/ GENx___x___x___ ,
5235  /*EBA2*/ GENx___x___x___ ,
5236  /*EBA3*/ GENx___x___x___ ,
5237  /*EBEB*/ GENx___x___x___ ,
5238  /*EBA5*/ GENx___x___x___ ,
5239  /*EBA6*/ GENx___x___x___ ,
5240  /*EBA7*/ GENx___x___x___ ,
5241  /*EBA8*/ GENx___x___x___ ,
5242  /*EBA9*/ GENx___x___x___ ,
5243  /*EBAA*/ GENx___x___x___ ,
5244  /*EBAB*/ GENx___x___x___ ,
5245  /*EBAC*/ GENx___x___x___ ,
5246  /*EBAD*/ GENx___x___x___ ,
5247  /*EBAE*/ GENx___x___x___ ,
5248  /*EBAF*/ GENx___x___x___ ,
5249  /*EBB0*/ GENx___x___x___ ,
5250  /*EBB1*/ GENx___x___x___ ,
5251  /*EBB2*/ GENx___x___x___ ,
5252  /*EBB3*/ GENx___x___x___ ,
5253  /*EBB4*/ GENx___x___x___ ,
5254  /*EBB5*/ GENx___x___x___ ,
5255  /*EBB6*/ GENx___x___x___ ,
5256  /*EBB7*/ GENx___x___x___ ,
5257  /*EBB8*/ GENx___x___x___ ,
5258  /*EBEB*/ GENx___x___x___ ,
5259  /*EBBA*/ GENx___x___x___ ,
5260  /*EBBB*/ GENx___x___x___ ,
5261  /*EBBC*/ GENx___x___x___ ,
5262  /*EBBD*/ GENx___x___x___ ,
5263  /*EBBE*/ GENx___x___x___ ,
5264  /*EBBF*/ GENx___x___x___ ,
5265  /*EBC0*/ GENx37Xx390x900 (test_decimal,RSL,"TP"),
5266  /*EBC1*/ GENx___x___x___ ,
5267  /*EBC2*/ GENx___x___x___ ,
5268  /*EBC3*/ GENx___x___x___ ,
5269  /*EBC4*/ GENx___x___x___ ,
5270  /*EBC5*/ GENx___x___x___ ,
5271  /*EBC6*/ GENx___x___x___ ,
5272  /*EBC7*/ GENx___x___x___ ,
5273  /*EBC8*/ GENx___x___x___ ,
5274  /*EBC9*/ GENx___x___x___ ,
5275  /*EBCA*/ GENx___x___x___ ,
5276  /*EBCB*/ GENx___x___x___ ,
5277  /*EBCC*/ GENx___x___x___ ,
5278  /*EBCD*/ GENx___x___x___ ,
5279  /*EBCE*/ GENx___x___x___ ,
5280  /*EBCF*/ GENx___x___x___ ,
5281  /*EBD0*/ GENx___x___x___ ,
5282  /*EBD1*/ GENx___x___x___ ,
5283  /*EBD2*/ GENx___x___x___ ,
5284  /*EBD3*/ GENx___x___x___ ,
5285  /*EBD4*/ GENx___x___x___ ,
5286  /*EBD5*/ GENx___x___x___ ,
5287  /*EBD6*/ GENx___x___x___ ,
5288  /*EBD7*/ GENx___x___x___ ,
5289  /*EBD8*/ GENx___x___x___ ,
5290  /*EBD9*/ GENx___x___x___ ,
5291  /*EBDA*/ GENx___x___x___ ,
5292  /*EBDB*/ GENx___x___x___ ,
5293  /*EBDC*/ GENx37Xx390x900 (shift_right_single_distinct,RSY,"SRAK"),                /*810*/
5294  /*EBDD*/ GENx37Xx390x900 (shift_left_single_distinct,RSY,"SLAK"),                 /*810*/
5295  /*EBDE*/ GENx37Xx390x900 (shift_right_single_logical_distinct,RSY,"SRLK"),        /*810*/
5296  /*EBDF*/ GENx37Xx390x900 (shift_left_single_logical_distinct,RSY,"SLLK"),         /*810*/
5297  /*EBE0*/ GENx___x___x___ ,
5298  /*EBE1*/ GENx___x___x___ ,
5299  /*EBE2*/ GENx___x___x900 (load_on_condition_long,RSY_M3,"LOCG"),                  /*810*/
5300  /*EBE3*/ GENx___x___x900 (store_on_condition_long,RSY_M3,"STOCG"),                /*810*/
5301  /*EBE4*/ GENx___x___x900 (load_and_and_long,RSY,"LANG"),                          /*810*/
5302  /*EBE5*/ GENx___x___x___ ,
5303  /*EBE6*/ GENx___x___x900 (load_and_or_long,RSY,"LAOG"),                           /*810*/
5304  /*EBE7*/ GENx___x___x900 (load_and_exclusive_or_long,RSY,"LAXG"),                 /*810*/
5305  /*EBE8*/ GENx___x___x900 (load_and_add_long,RSY,"LAAG"),                          /*810*/
5306  /*EBE9*/ GENx___x___x___ ,
5307  /*EBEA*/ GENx___x___x900 (load_and_add_logical_long,RSY,"LAALG"),                 /*810*/
5308  /*EBEB*/ GENx___x___x___ ,
5309  /*EBEC*/ GENx___x___x___ ,
5310  /*EBED*/ GENx___x___x___ ,
5311  /*EBEE*/ GENx___x___x___ ,
5312  /*EBEF*/ GENx___x___x___ ,
5313  /*EBF0*/ GENx___x___x___ ,
5314  /*EBF1*/ GENx___x___x___ ,
5315  /*EBF2*/ GENx37Xx390x900 (load_on_condition,RSY_M3,"LOC"),                        /*810*/
5316  /*EBF3*/ GENx37Xx390x900 (store_on_condition,RSY_M3,"STOC"),                      /*810*/
5317  /*EBF4*/ GENx37Xx390x900 (load_and_and,RSY,"LAN"),                                /*810*/
5318  /*EBF5*/ GENx___x___x___ ,
5319  /*EBF6*/ GENx37Xx390x900 (load_and_or,RSY,"LAO"),                                 /*810*/
5320  /*EBF7*/ GENx37Xx390x900 (load_and_exclusive_or,RSY,"LAX"),                       /*810*/
5321  /*EBF8*/ GENx37Xx390x900 (load_and_add,RSY,"LAA"),                                /*810*/
5322  /*EBF9*/ GENx___x___x___ ,
5323  /*EBFA*/ GENx37Xx390x900 (load_and_add_logical,RSY,"LAAL"),                       /*810*/
5324  /*EBFB*/ GENx___x___x___ ,
5325  /*EBFC*/ GENx___x___x___ ,
5326  /*EBFD*/ GENx___x___x___ ,
5327  /*EBFE*/ GENx___x___x___ ,
5328  /*EBFF*/ GENx___x___x___  };
5329 
5330 // #endif /*defined(FEATURE_ESAME)*/
5331 
5332 // #if defined(FEATURE_ESAME)
5333 
5334 DLL_EXPORT zz_func opcode_ecxx[256][GEN_MAXARCH] = {
5335  /*EC00*/ GENx___x___x___ ,
5336  /*EC01*/ GENx___x___x___ ,
5337  /*EC02*/ GENx___x___x___ ,
5338  /*EC03*/ GENx___x___x___ ,
5339  /*EC04*/ GENx___x___x___ ,
5340  /*EC05*/ GENx___x___x___ ,
5341  /*EC06*/ GENx___x___x___ ,
5342  /*EC07*/ GENx___x___x___ ,
5343  /*EC08*/ GENx___x___x___ ,
5344  /*EC09*/ GENx___x___x___ ,
5345  /*EC0A*/ GENx___x___x___ ,
5346  /*EC0B*/ GENx___x___x___ ,
5347  /*EC0C*/ GENx___x___x___ ,
5348  /*EC0D*/ GENx___x___x___ ,
5349  /*EC0E*/ GENx___x___x___ ,
5350  /*EC0F*/ GENx___x___x___ ,
5351  /*EC10*/ GENx___x___x___ ,
5352  /*EC11*/ GENx___x___x___ ,
5353  /*EC12*/ GENx___x___x___ ,
5354  /*EC13*/ GENx___x___x___ ,
5355  /*EC14*/ GENx___x___x___ ,
5356  /*EC15*/ GENx___x___x___ ,
5357  /*EC16*/ GENx___x___x___ ,
5358  /*EC17*/ GENx___x___x___ ,
5359  /*EC18*/ GENx___x___x___ ,
5360  /*EC19*/ GENx___x___x___ ,
5361  /*EC1A*/ GENx___x___x___ ,
5362  /*EC1B*/ GENx___x___x___ ,
5363  /*EC1C*/ GENx___x___x___ ,
5364  /*EC1D*/ GENx___x___x___ ,
5365  /*EC1E*/ GENx___x___x___ ,
5366  /*EC1F*/ GENx___x___x___ ,
5367  /*EC20*/ GENx___x___x___ ,
5368  /*EC21*/ GENx___x___x___ ,
5369  /*EC22*/ GENx___x___x___ ,
5370  /*EC23*/ GENx___x___x___ ,
5371  /*EC24*/ GENx___x___x___ ,
5372  /*EC25*/ GENx___x___x___ ,
5373  /*EC26*/ GENx___x___x___ ,
5374  /*EC27*/ GENx___x___x___ ,
5375  /*EC28*/ GENx___x___x___ ,
5376  /*EC29*/ GENx___x___x___ ,
5377  /*EC2A*/ GENx___x___x___ ,
5378  /*EC2B*/ GENx___x___x___ ,
5379  /*EC2C*/ GENx___x___x___ ,
5380  /*EC2D*/ GENx___x___x___ ,
5381  /*EC2E*/ GENx___x___x___ ,
5382  /*EC2F*/ GENx___x___x___ ,
5383  /*EC30*/ GENx___x___x___ ,
5384  /*EC31*/ GENx___x___x___ ,
5385  /*EC32*/ GENx___x___x___ ,
5386  /*EC33*/ GENx___x___x___ ,
5387  /*EC34*/ GENx___x___x___ ,
5388  /*EC35*/ GENx___x___x___ ,
5389  /*EC36*/ GENx___x___x___ ,
5390  /*EC37*/ GENx___x___x___ ,
5391  /*EC38*/ GENx___x___x___ ,
5392  /*EC39*/ GENx___x___x___ ,
5393  /*EC3A*/ GENx___x___x___ ,
5394  /*EC3B*/ GENx___x___x___ ,
5395  /*EC3C*/ GENx___x___x___ ,
5396  /*EC3D*/ GENx___x___x___ ,
5397  /*EC3E*/ GENx___x___x___ ,
5398  /*EC3F*/ GENx___x___x___ ,
5399  /*EC40*/ GENx___x___x___ ,
5400  /*EC41*/ GENx___x___x___ ,
5401  /*EC42*/ GENx___x___x___ ,
5402  /*EC43*/ GENx___x___x___ ,
5403  /*EC44*/ GENx___x___x900 (branch_relative_on_index_high_long,RIE,"BRXHG"),
5404  /*EC45*/ GENx___x___x900 (branch_relative_on_index_low_or_equal_long,RIE,"BRXLG"),
5405  /*EC46*/ GENx___x___x___ ,
5406  /*EC47*/ GENx___x___x___ ,
5407  /*EC48*/ GENx___x___x___ ,
5408  /*EC49*/ GENx___x___x___ ,
5409  /*EC4A*/ GENx___x___x___ ,
5410  /*EC4B*/ GENx___x___x___ ,
5411  /*EC4C*/ GENx___x___x___ ,
5412  /*EC4D*/ GENx___x___x___ ,
5413  /*EC4E*/ GENx___x___x___ ,
5414  /*EC4F*/ GENx___x___x___ ,
5415  /*EC50*/ GENx___x___x___ ,
5416  /*EC51*/ GENx___x___x900 (rotate_then_insert_selected_bits_low_long_reg,RIE_RRIII,"RISBLG"),  /*810*/
5417  /*EC52*/ GENx___x___x___ ,
5418  /*EC53*/ GENx___x___x___ ,
5419  /*EC54*/ GENx___x___x900 (rotate_then_and_selected_bits_long_reg,RIE_RRIII,"RNSBG"),          /*208*/
5420  /*EC55*/ GENx___x___x900 (rotate_then_insert_selected_bits_long_reg,RIE_RRIII,"RISBG"),       /*208*/
5421  /*EC56*/ GENx___x___x900 (rotate_then_or_selected_bits_long_reg,RIE_RRIII,"ROSBG"),           /*208*/
5422  /*EC57*/ GENx___x___x900 (rotate_then_exclusive_or_selected_bits_long_reg,RIE_RRIII,"RXSBG"), /*208*/
5423  /*EC58*/ GENx___x___x___ ,
5424  /*EC59*/ GENx___x___x900 (rotate_then_insert_selected_bits_long_reg_n,RIE_RRIII,"RISBGN"),    /*912*/
5425  /*EC5A*/ GENx___x___x___ ,
5426  /*EC5B*/ GENx___x___x___ ,
5427  /*EC5C*/ GENx___x___x___ ,
5428  /*EC5D*/ GENx___x___x900 (rotate_then_insert_selected_bits_high_long_reg,RIE_RRIII,"RISBHG"), /*810*/
5429  /*EC5E*/ GENx___x___x___ ,
5430  /*EC5F*/ GENx___x___x___ ,
5431  /*EC60*/ GENx___x___x___ ,
5432  /*EC61*/ GENx___x___x___ ,
5433  /*EC62*/ GENx___x___x___ ,
5434  /*EC63*/ GENx___x___x___ ,
5435  /*EC64*/ GENx___x___x900 (compare_and_branch_relative_long_register,RIE_RRIM,"CGRJ"), /*208*/
5436  /*EC65*/ GENx___x___x900 (compare_logical_and_branch_relative_long_register,RIE_RRIM,"CLGRJ"), /*208*/
5437  /*EC66*/ GENx___x___x___ ,
5438  /*EC67*/ GENx___x___x___ ,
5439  /*EC68*/ GENx___x___x___ ,
5440  /*EC69*/ GENx___x___x___ ,
5441  /*EC6A*/ GENx___x___x___ ,
5442  /*EC6B*/ GENx___x___x___ ,
5443  /*EC6C*/ GENx___x___x___ ,
5444  /*EC6D*/ GENx___x___x___ ,
5445  /*EC6E*/ GENx___x___x___ ,
5446  /*EC6F*/ GENx___x___x___ ,
5447  /*EC70*/ GENx___x___x900 (compare_immediate_and_trap_long,RIE_RIM,"CGIT"),        /*208*/
5448  /*EC71*/ GENx___x___x900 (compare_logical_immediate_and_trap_long,RIE_RIM,"CLGIT"), /*208*/
5449  /*EC72*/ GENx37Xx390x900 (compare_immediate_and_trap,RIE_RIM,"CIT"),              /*208*/
5450  /*EC73*/ GENx37Xx390x900 (compare_logical_immediate_and_trap_fullword,RIE_RIM,"CLFIT"), /*208*/
5451  /*EC74*/ GENx___x___x___ ,
5452  /*EC75*/ GENx___x___x___ ,
5453  /*EC76*/ GENx37Xx390x900 (compare_and_branch_relative_register,RIE_RRIM,"CRJ"),   /*208*/
5454  /*EC77*/ GENx37Xx390x900 (compare_logical_and_branch_relative_register,RIE_RRIM,"CLRJ"), /*208*/
5455  /*EC78*/ GENx___x___x___ ,
5456  /*EC79*/ GENx___x___x___ ,
5457  /*EC7A*/ GENx___x___x___ ,
5458  /*EC7B*/ GENx___x___x___ ,
5459  /*EC7C*/ GENx___x___x900 (compare_immediate_and_branch_relative_long,RIE_RMII,"CGIJ"), /*208*/
5460  /*EC7D*/ GENx___x___x900 (compare_logical_immediate_and_branch_relative_long,RIE_RMII,"CLGIJ"), /*208*/
5461  /*EC7E*/ GENx37Xx390x900 (compare_immediate_and_branch_relative,RIE_RMII,"CIJ"),  /*208*/
5462  /*EC7F*/ GENx37Xx390x900 (compare_logical_immediate_and_branch_relative,RIE_RMII,"CLIJ"), /*208*/
5463  /*EC80*/ GENx___x___x___ ,
5464  /*EC81*/ GENx___x___x___ ,
5465  /*EC82*/ GENx___x___x___ ,
5466  /*EC83*/ GENx___x___x___ ,
5467  /*EC84*/ GENx___x___x___ ,
5468  /*EC85*/ GENx___x___x___ ,
5469  /*EC86*/ GENx___x___x___ ,
5470  /*EC87*/ GENx___x___x___ ,
5471  /*EC88*/ GENx___x___x___ ,
5472  /*EC89*/ GENx___x___x___ ,
5473  /*EC8A*/ GENx___x___x___ ,
5474  /*EC8B*/ GENx___x___x___ ,
5475  /*EC8C*/ GENx___x___x___ ,
5476  /*EC8D*/ GENx___x___x___ ,
5477  /*EC8E*/ GENx___x___x___ ,
5478  /*EC8F*/ GENx___x___x___ ,
5479  /*EC90*/ GENx___x___x___ ,
5480  /*EC91*/ GENx___x___x___ ,
5481  /*EC92*/ GENx___x___x___ ,
5482  /*EC93*/ GENx___x___x___ ,
5483  /*EC94*/ GENx___x___x___ ,
5484  /*EC95*/ GENx___x___x___ ,
5485  /*EC96*/ GENx___x___x___ ,
5486  /*EC97*/ GENx___x___x___ ,
5487  /*EC98*/ GENx___x___x___ ,
5488  /*EC99*/ GENx___x___x___ ,
5489  /*EC9A*/ GENx___x___x___ ,
5490  /*EC9B*/ GENx___x___x___ ,
5491  /*EC9C*/ GENx___x___x___ ,
5492  /*EC9D*/ GENx___x___x___ ,
5493  /*EC9E*/ GENx___x___x___ ,
5494  /*EC9F*/ GENx___x___x___ ,
5495  /*ECA0*/ GENx___x___x___ ,
5496  /*ECA1*/ GENx___x___x___ ,
5497  /*ECA2*/ GENx___x___x___ ,
5498  /*ECA3*/ GENx___x___x___ ,
5499  /*ECA4*/ GENx___x___x___ ,
5500  /*ECA5*/ GENx___x___x___ ,
5501  /*ECA6*/ GENx___x___x___ ,
5502  /*ECA7*/ GENx___x___x___ ,
5503  /*ECA8*/ GENx___x___x___ ,
5504  /*ECA9*/ GENx___x___x___ ,
5505  /*ECAA*/ GENx___x___x___ ,
5506  /*ECAB*/ GENx___x___x___ ,
5507  /*ECAC*/ GENx___x___x___ ,
5508  /*ECAD*/ GENx___x___x___ ,
5509  /*ECAE*/ GENx___x___x___ ,
5510  /*ECAF*/ GENx___x___x___ ,
5511  /*ECB0*/ GENx___x___x___ ,
5512  /*ECB1*/ GENx___x___x___ ,
5513  /*ECB2*/ GENx___x___x___ ,
5514  /*ECB3*/ GENx___x___x___ ,
5515  /*ECB4*/ GENx___x___x___ ,
5516  /*ECB5*/ GENx___x___x___ ,
5517  /*ECB6*/ GENx___x___x___ ,
5518  /*ECB7*/ GENx___x___x___ ,
5519  /*ECB8*/ GENx___x___x___ ,
5520  /*ECB9*/ GENx___x___x___ ,
5521  /*ECBA*/ GENx___x___x___ ,
5522  /*ECBB*/ GENx___x___x___ ,
5523  /*ECBC*/ GENx___x___x___ ,
5524  /*ECBD*/ GENx___x___x___ ,
5525  /*ECBE*/ GENx___x___x___ ,
5526  /*ECBF*/ GENx___x___x___ ,
5527  /*ECC0*/ GENx___x___x___ ,
5528  /*ECC1*/ GENx___x___x___ ,
5529  /*ECC2*/ GENx___x___x___ ,
5530  /*ECC3*/ GENx___x___x___ ,
5531  /*ECC4*/ GENx___x___x___ ,
5532  /*ECC5*/ GENx___x___x___ ,
5533  /*ECC6*/ GENx___x___x___ ,
5534  /*ECC7*/ GENx___x___x___ ,
5535  /*ECC8*/ GENx___x___x___ ,
5536  /*ECC9*/ GENx___x___x___ ,
5537  /*ECCA*/ GENx___x___x___ ,
5538  /*ECCB*/ GENx___x___x___ ,
5539  /*ECCC*/ GENx___x___x___ ,
5540  /*ECCD*/ GENx___x___x___ ,
5541  /*ECCE*/ GENx___x___x___ ,
5542  /*ECCF*/ GENx___x___x___ ,
5543  /*ECD0*/ GENx___x___x___ ,
5544  /*ECD1*/ GENx___x___x___ ,
5545  /*ECD2*/ GENx___x___x___ ,
5546  /*ECD3*/ GENx___x___x___ ,
5547  /*ECD4*/ GENx___x___x___ ,
5548  /*ECD5*/ GENx___x___x___ ,
5549  /*ECD6*/ GENx___x___x___ ,
5550  /*ECD7*/ GENx___x___x___ ,
5551  /*ECD8*/ GENx37Xx390x900 (add_distinct_halfword_immediate,RIE_RRI,"AHIK"),        /*810*/
5552  /*ECD9*/ GENx___x___x900 (add_distinct_long_halfword_immediate,RIE_RRI,"AGHIK"),  /*810*/
5553  /*ECDA*/ GENx37Xx390x900 (add_logical_distinct_signed_halfword_immediate,RIE_RRI,"ALHSIK"), /*810*/
5554  /*ECDB*/ GENx___x___x900 (add_logical_distinct_long_signed_halfword_immediate,RIE_RRI,"AGLHSIK"), /*810*/
5555  /*ECDC*/ GENx___x___x___ ,
5556  /*ECDD*/ GENx___x___x___ ,
5557  /*ECDE*/ GENx___x___x___ ,
5558  /*ECDF*/ GENx___x___x___ ,
5559  /*ECE0*/ GENx___x___x___ ,
5560  /*ECE1*/ GENx___x___x___ ,
5561  /*ECE2*/ GENx___x___x___ ,
5562  /*ECE3*/ GENx___x___x___ ,
5563  /*ECE4*/ GENx___x___x900 (compare_and_branch_long_register,RRS,"CGRB"),           /*208*/
5564  /*ECE5*/ GENx___x___x900 (compare_logical_and_branch_long_register,RRS,"CLGRB"),  /*208*/
5565  /*ECE6*/ GENx___x___x___ ,
5566  /*ECE7*/ GENx___x___x___ ,
5567  /*ECE8*/ GENx___x___x___ ,
5568  /*ECE9*/ GENx___x___x___ ,
5569  /*ECEA*/ GENx___x___x___ ,
5570  /*ECEB*/ GENx___x___x___ ,
5571  /*ECEC*/ GENx___x___x___ ,
5572  /*ECED*/ GENx___x___x___ ,
5573  /*ECEE*/ GENx___x___x___ ,
5574  /*ECEF*/ GENx___x___x___ ,
5575  /*ECF0*/ GENx___x___x___ ,
5576  /*ECF1*/ GENx___x___x___ ,
5577  /*ECF2*/ GENx___x___x___ ,
5578  /*ECF3*/ GENx___x___x___ ,
5579  /*ECF4*/ GENx___x___x___ ,
5580  /*ECF5*/ GENx___x___x___ ,
5581  /*ECF6*/ GENx37Xx390x900 (compare_and_branch_register,RRS,"CRB"),                 /*208*/
5582  /*ECF7*/ GENx37Xx390x900 (compare_logical_and_branch_register,RRS,"CLRB"),        /*208*/
5583  /*ECF8*/ GENx___x___x___ ,
5584  /*ECF9*/ GENx___x___x___ ,
5585  /*ECFA*/ GENx___x___x___ ,
5586  /*ECFB*/ GENx___x___x___ ,
5587  /*ECFC*/ GENx___x___x900 (compare_immediate_and_branch_long,RIS,"CGIB"),          /*208*/
5588  /*ECFD*/ GENx___x___x900 (compare_logical_immediate_and_branch_long,RIS,"CLGIB"), /*208*/
5589  /*ECFE*/ GENx37Xx390x900 (compare_immediate_and_branch,RIS,"CIB"),                /*208*/
5590  /*ECFF*/ GENx37Xx390x900 (compare_logical_immediate_and_branch,RIS,"CLIB") };     /*208*/
5591 
5592 // #endif /*defined(FEATURE_ESAME)*/
5593 
5594 // #if defined(FEATURE_BASIC_FP_EXTENSIONS)
5595 
5596 DLL_EXPORT zz_func opcode_edxx[256][GEN_MAXARCH] = {
5597  /*ED00*/ GENx___x___x___ ,
5598  /*ED01*/ GENx___x___x___ ,
5599  /*ED02*/ GENx___x___x___ ,
5600  /*ED03*/ GENx___x___x___ ,
5601  /*ED04*/ GENx37Xx390x900 (load_lengthened_bfp_short_to_long,RXE,"LDEB"),
5602  /*ED05*/ GENx37Xx390x900 (load_lengthened_bfp_long_to_ext,RXE,"LXDB"),
5603  /*ED06*/ GENx37Xx390x900 (load_lengthened_bfp_short_to_ext,RXE,"LXEB"),
5604  /*ED07*/ GENx37Xx390x900 (multiply_bfp_long_to_ext,RXE,"MXDB"),
5605  /*ED08*/ GENx37Xx390x900 (compare_and_signal_bfp_short,RXE,"KEB"),
5606  /*ED09*/ GENx37Xx390x900 (compare_bfp_short,RXE,"CEB"),
5607  /*ED0A*/ GENx37Xx390x900 (add_bfp_short,RXE,"AEB"),
5608  /*ED0B*/ GENx37Xx390x900 (subtract_bfp_short,RXE,"SEB"),
5609  /*ED0C*/ GENx37Xx390x900 (multiply_bfp_short_to_long,RXE,"MDEB"),
5610  /*ED0D*/ GENx37Xx390x900 (divide_bfp_short,RXE,"DEB"),
5611  /*ED0E*/ GENx37Xx390x900 (multiply_add_bfp_short,RXF,"MAEB"),
5612  /*ED0F*/ GENx37Xx390x900 (multiply_subtract_bfp_short,RXF,"MSEB"),
5613  /*ED10*/ GENx37Xx390x900 (test_data_class_bfp_short,RXE,"TCEB"),
5614  /*ED11*/ GENx37Xx390x900 (test_data_class_bfp_long,RXE,"TCDB"),
5615  /*ED12*/ GENx37Xx390x900 (test_data_class_bfp_ext,RXE,"TCXB"),
5616  /*ED13*/ GENx___x___x___ ,
5617  /*ED14*/ GENx37Xx390x900 (squareroot_bfp_short,RXE,"SQEB"),
5618  /*ED15*/ GENx37Xx390x900 (squareroot_bfp_long,RXE,"SQDB"),
5619  /*ED16*/ GENx___x___x___ ,
5620  /*ED17*/ GENx37Xx390x900 (multiply_bfp_short,RXE,"MEEB"),
5621  /*ED18*/ GENx37Xx390x900 (compare_and_signal_bfp_long,RXE,"KDB"),
5622  /*ED19*/ GENx37Xx390x900 (compare_bfp_long,RXE,"CDB"),
5623  /*ED1A*/ GENx37Xx390x900 (add_bfp_long,RXE,"ADB"),
5624  /*ED1B*/ GENx37Xx390x900 (subtract_bfp_long,RXE,"SDB"),
5625  /*ED1C*/ GENx37Xx390x900 (multiply_bfp_long,RXE,"MDB"),
5626  /*ED1D*/ GENx37Xx390x900 (divide_bfp_long,RXE,"DDB"),
5627  /*ED1E*/ GENx37Xx390x900 (multiply_add_bfp_long,RXF,"MADB"),
5628  /*ED1F*/ GENx37Xx390x900 (multiply_subtract_bfp_long,RXF,"MSDB"),
5629  /*ED20*/ GENx___x___x___ ,
5630  /*ED21*/ GENx___x___x___ ,
5631  /*ED22*/ GENx___x___x___ ,
5632  /*ED23*/ GENx___x___x___ ,
5633  /*ED24*/ GENx37Xx390x900 (load_lengthened_float_short_to_long,RXE,"LDE"),
5634  /*ED25*/ GENx37Xx390x900 (load_lengthened_float_long_to_ext,RXE,"LXD"),
5635  /*ED26*/ GENx37Xx390x900 (load_lengthened_float_short_to_ext,RXE,"LXE"),
5636  /*ED27*/ GENx___x___x___ ,
5637  /*ED28*/ GENx___x___x___ ,
5638  /*ED29*/ GENx___x___x___ ,
5639  /*ED2A*/ GENx___x___x___ ,
5640  /*ED2B*/ GENx___x___x___ ,
5641  /*ED2C*/ GENx___x___x___ ,
5642  /*ED2D*/ GENx___x___x___ ,
5643  /*ED2E*/ GENx37Xx390x900 (multiply_add_float_short,RXF,"MAE"),
5644  /*ED2F*/ GENx37Xx390x900 (multiply_subtract_float_short,RXF,"MSE"),
5645  /*ED30*/ GENx___x___x___ ,
5646  /*ED31*/ GENx___x___x___ ,
5647  /*ED32*/ GENx___x___x___ ,
5648  /*ED33*/ GENx___x___x___ ,
5649  /*ED34*/ GENx37Xx390x900 (squareroot_float_short,RXE,"SQE"),
5650  /*ED35*/ GENx37Xx390x900 (squareroot_float_long,RXE,"SQD"),
5651  /*ED36*/ GENx___x___x___ ,
5652  /*ED37*/ GENx37Xx390x900 (multiply_float_short,RXE,"MEE"),
5653  /*ED38*/ GENx37Xx___x900 (multiply_add_unnormal_float_long_to_ext_low,RXF,"MAYL"),  /*@Z9*/
5654  /*ED39*/ GENx37Xx___x900 (multiply_unnormal_float_long_to_ext_low,RXF,"MYL"),       /*@Z9*/
5655  /*ED3A*/ GENx37Xx___x900 (multiply_add_unnormal_float_long_to_ext,RXF,"MAY"),       /*@Z9*/
5656  /*ED3B*/ GENx37Xx___x900 (multiply_unnormal_float_long_to_ext,RXF,"MY"),            /*@Z9*/
5657  /*ED3C*/ GENx37Xx___x900 (multiply_add_unnormal_float_long_to_ext_high,RXF,"MAYH"), /*@Z9*/
5658  /*ED3D*/ GENx37Xx___x900 (multiply_unnormal_float_long_to_ext_high,RXF,"MYH"),      /*@Z9*/
5659  /*ED3E*/ GENx37Xx390x900 (multiply_add_float_long,RXF,"MAD"),
5660  /*ED3F*/ GENx37Xx390x900 (multiply_subtract_float_long,RXF,"MSD"),
5661  /*ED40*/ GENx___x390x900 (shift_coefficient_left_dfp_long,RXF,"SLDT"),
5662  /*ED41*/ GENx___x390x900 (shift_coefficient_right_dfp_long,RXF,"SRDT"),
5663  /*ED42*/ GENx___x___x___ ,
5664  /*ED43*/ GENx___x___x___ ,
5665  /*ED44*/ GENx___x___x___ ,
5666  /*ED45*/ GENx___x___x___ ,
5667  /*ED46*/ GENx___x___x___ ,
5668  /*ED47*/ GENx___x___x___ ,
5669  /*ED48*/ GENx___x390x900 (shift_coefficient_left_dfp_ext,RXF,"SLXT"),
5670  /*ED49*/ GENx___x390x900 (shift_coefficient_right_dfp_ext,RXF,"SRXT"),
5671  /*ED4A*/ GENx___x___x___ ,
5672  /*ED4B*/ GENx___x___x___ ,
5673  /*ED4C*/ GENx___x___x___ ,
5674  /*ED4D*/ GENx___x___x___ ,
5675  /*ED4E*/ GENx___x___x___ ,
5676  /*ED4F*/ GENx___x___x___ ,
5677  /*ED50*/ GENx___x390x900 (test_data_class_dfp_short,RXE,"TDCET"),
5678  /*ED51*/ GENx___x390x900 (test_data_group_dfp_short,RXE,"TDGET"),
5679  /*ED52*/ GENx___x___x___ ,
5680  /*ED53*/ GENx___x___x___ ,
5681  /*ED54*/ GENx___x390x900 (test_data_class_dfp_long,RXE,"TDCDT"),
5682  /*ED55*/ GENx___x390x900 (test_data_group_dfp_long,RXE,"TDGDT"),
5683  /*ED56*/ GENx___x___x___ ,
5684  /*ED57*/ GENx___x___x___ ,
5685  /*ED58*/ GENx___x390x900 (test_data_class_dfp_ext,RXE,"TDCXT"),
5686  /*ED59*/ GENx___x390x900 (test_data_group_dfp_ext,RXE,"TDGXT"),
5687  /*ED5A*/ GENx___x___x___ ,
5688  /*ED5B*/ GENx___x___x___ ,
5689  /*ED5C*/ GENx___x___x___ ,
5690  /*ED5D*/ GENx___x___x___ ,
5691  /*ED5E*/ GENx___x___x___ ,
5692  /*ED5F*/ GENx___x___x___ ,
5693  /*ED60*/ GENx___x___x___ ,
5694  /*ED61*/ GENx___x___x___ ,
5695  /*ED62*/ GENx___x___x___ ,
5696  /*ED63*/ GENx___x___x___ ,
5697  /*ED64*/ GENx___x___x900 (load_float_short_y,RXY,"LEY"),
5698  /*ED65*/ GENx___x___x900 (load_float_long_y,RXY,"LDY"),
5699  /*ED66*/ GENx___x___x900 (store_float_short_y,RXY,"STEY"),
5700  /*ED67*/ GENx___x___x900 (store_float_long_y,RXY,"STDY"),
5701  /*ED68*/ GENx___x___x___ ,
5702  /*ED69*/ GENx___x___x___ ,
5703  /*ED6A*/ GENx___x___x___ ,
5704  /*ED6B*/ GENx___x___x___ ,
5705  /*ED6C*/ GENx___x___x___ ,
5706  /*ED6D*/ GENx___x___x___ ,
5707  /*ED6E*/ GENx___x___x___ ,
5708  /*ED6F*/ GENx___x___x___ ,
5709  /*ED70*/ GENx___x___x___ ,
5710  /*ED71*/ GENx___x___x___ ,
5711  /*ED72*/ GENx___x___x___ ,
5712  /*ED73*/ GENx___x___x___ ,
5713  /*ED74*/ GENx___x___x___ ,
5714  /*ED75*/ GENx___x___x___ ,
5715  /*ED76*/ GENx___x___x___ ,
5716  /*ED77*/ GENx___x___x___ ,
5717  /*ED78*/ GENx___x___x___ ,
5718  /*ED79*/ GENx___x___x___ ,
5719  /*ED7A*/ GENx___x___x___ ,
5720  /*ED7B*/ GENx___x___x___ ,
5721  /*ED7C*/ GENx___x___x___ ,
5722  /*ED7D*/ GENx___x___x___ ,
5723  /*ED7E*/ GENx___x___x___ ,
5724  /*ED7F*/ GENx___x___x___ ,
5725  /*ED80*/ GENx___x___x___ ,
5726  /*ED81*/ GENx___x___x___ ,
5727  /*ED82*/ GENx___x___x___ ,
5728  /*ED83*/ GENx___x___x___ ,
5729  /*ED84*/ GENx___x___x___ ,
5730  /*ED85*/ GENx___x___x___ ,
5731  /*ED86*/ GENx___x___x___ ,
5732  /*ED87*/ GENx___x___x___ ,
5733  /*ED88*/ GENx___x___x___ ,
5734  /*ED89*/ GENx___x___x___ ,
5735  /*ED8A*/ GENx___x___x___ ,
5736  /*ED8B*/ GENx___x___x___ ,
5737  /*ED8C*/ GENx___x___x___ ,
5738  /*ED8D*/ GENx___x___x___ ,
5739  /*ED8E*/ GENx___x___x___ ,
5740  /*ED8F*/ GENx___x___x___ ,
5741  /*ED90*/ GENx___x___x___ ,
5742  /*ED91*/ GENx___x___x___ ,
5743  /*ED92*/ GENx___x___x___ ,
5744  /*ED93*/ GENx___x___x___ ,
5745  /*ED94*/ GENx___x___x___ ,
5746  /*ED95*/ GENx___x___x___ ,
5747  /*ED96*/ GENx___x___x___ ,
5748  /*ED97*/ GENx___x___x___ ,
5749  /*ED98*/ GENx___x___x___ ,
5750  /*ED99*/ GENx___x___x___ ,
5751  /*ED9A*/ GENx___x___x___ ,
5752  /*ED9B*/ GENx___x___x___ ,
5753  /*ED9C*/ GENx___x___x___ ,
5754  /*ED9D*/ GENx___x___x___ ,
5755  /*ED9E*/ GENx___x___x___ ,
5756  /*ED9F*/ GENx___x___x___ ,
5757  /*EDA0*/ GENx___x___x___ ,
5758  /*EDA1*/ GENx___x___x___ ,
5759  /*EDA2*/ GENx___x___x___ ,
5760  /*EDA3*/ GENx___x___x___ ,
5761  /*EDA4*/ GENx___x___x___ ,
5762  /*EDA5*/ GENx___x___x___ ,
5763  /*EDA6*/ GENx___x___x___ ,
5764  /*EDA7*/ GENx___x___x___ ,
5765  /*EDA8*/ GENx___x___x900 (convert_dfp_long_to_zoned,RSL_RM,"CZDT"),                /*912*/
5766  /*EDA9*/ GENx___x___x900 (convert_dfp_ext_to_zoned,RSL_RM,"CZXT"),                 /*912*/
5767  /*EDAA*/ GENx___x___x900 (convert_zoned_to_dfp_long,RSL_RM,"CDZT"),                /*912*/
5768  /*EDAB*/ GENx___x___x900 (convert_zoned_to_dfp_ext,RSL_RM,"CXZT"),                 /*912*/
5769  /*EDAC*/ GENx___x___x___ ,
5770  /*EDAD*/ GENx___x___x___ ,
5771  /*EDAE*/ GENx___x___x___ ,
5772  /*EDAF*/ GENx___x___x___ ,
5773  /*EDB0*/ GENx___x___x___ ,
5774  /*EDB1*/ GENx___x___x___ ,
5775  /*EDB2*/ GENx___x___x___ ,
5776  /*EDB3*/ GENx___x___x___ ,
5777  /*EDB4*/ GENx___x___x___ ,
5778  /*EDB5*/ GENx___x___x___ ,
5779  /*EDB6*/ GENx___x___x___ ,
5780  /*EDB7*/ GENx___x___x___ ,
5781  /*EDB8*/ GENx___x___x___ ,
5782  /*EDB3*/ GENx___x___x___ ,
5783  /*EDBA*/ GENx___x___x___ ,
5784  /*EDBB*/ GENx___x___x___ ,
5785  /*EDBC*/ GENx___x___x___ ,
5786  /*EDBD*/ GENx___x___x___ ,
5787  /*EDBE*/ GENx___x___x___ ,
5788  /*EDBF*/ GENx___x___x___ ,
5789  /*EDC0*/ GENx___x___x___ ,
5790  /*EDC1*/ GENx___x___x___ ,
5791  /*EDC2*/ GENx___x___x___ ,
5792  /*EDC3*/ GENx___x___x___ ,
5793  /*EDC4*/ GENx___x___x___ ,
5794  /*EDC5*/ GENx___x___x___ ,
5795  /*EDC6*/ GENx___x___x___ ,
5796  /*EDC7*/ GENx___x___x___ ,
5797  /*EDC8*/ GENx___x___x___ ,
5798  /*EDC9*/ GENx___x___x___ ,
5799  /*EDCA*/ GENx___x___x___ ,
5800  /*EDCB*/ GENx___x___x___ ,
5801  /*EDCC*/ GENx___x___x___ ,
5802  /*EDCD*/ GENx___x___x___ ,
5803  /*EDCE*/ GENx___x___x___ ,
5804  /*EDCF*/ GENx___x___x___ ,
5805  /*EDD0*/ GENx___x___x___ ,
5806  /*EDD1*/ GENx___x___x___ ,
5807  /*EDD2*/ GENx___x___x___ ,
5808  /*EDD3*/ GENx___x___x___ ,
5809  /*EDD4*/ GENx___x___x___ ,
5810  /*EDD5*/ GENx___x___x___ ,
5811  /*EDD6*/ GENx___x___x___ ,
5812  /*EDD7*/ GENx___x___x___ ,
5813  /*EDD8*/ GENx___x___x___ ,
5814  /*EDD9*/ GENx___x___x___ ,
5815  /*EDDA*/ GENx___x___x___ ,
5816  /*EDDB*/ GENx___x___x___ ,
5817  /*EDDC*/ GENx___x___x___ ,
5818  /*EDDD*/ GENx___x___x___ ,
5819  /*EDDE*/ GENx___x___x___ ,
5820  /*EDDF*/ GENx___x___x___ ,
5821  /*EDE0*/ GENx___x___x___ ,
5822  /*EDE1*/ GENx___x___x___ ,
5823  /*EDE2*/ GENx___x___x___ ,
5824  /*EDE3*/ GENx___x___x___ ,
5825  /*EDE4*/ GENx___x___x___ ,
5826  /*EDE5*/ GENx___x___x___ ,
5827  /*EDE6*/ GENx___x___x___ ,
5828  /*EDE7*/ GENx___x___x___ ,
5829  /*EDE8*/ GENx___x___x___ ,
5830  /*EDE9*/ GENx___x___x___ ,
5831  /*EDEA*/ GENx___x___x___ ,
5832  /*EDEB*/ GENx___x___x___ ,
5833  /*EDEC*/ GENx___x___x___ ,
5834  /*EDED*/ GENx___x___x___ ,
5835  /*EDEE*/ GENx___x___x___ ,
5836  /*EDEF*/ GENx___x___x___ ,
5837  /*EDF0*/ GENx___x___x___ ,
5838  /*EDF1*/ GENx___x___x___ ,
5839  /*EDF2*/ GENx___x___x___ ,
5840  /*EDF3*/ GENx___x___x___ ,
5841  /*EDF4*/ GENx___x___x___ ,
5842  /*EDF5*/ GENx___x___x___ ,
5843  /*EDF6*/ GENx___x___x___ ,
5844  /*EDF7*/ GENx___x___x___ ,
5845  /*EDF8*/ GENx___x___x___ ,
5846  /*EDF9*/ GENx___x___x___ ,
5847  /*EDFA*/ GENx___x___x___ ,
5848  /*EDFB*/ GENx___x___x___ ,
5849  /*EDFC*/ GENx___x___x___ ,
5850  /*EDFD*/ GENx___x___x___ ,
5851  /*EDFE*/ GENx___x___x___ ,
5852  /*EDFF*/ GENx___x___x___  };
5853 
5854 // #endif /*defined(FEATURE_BASIC_FP_EXTENSIONS)*/
5855 
5856 // #if defined (FEATURE_VECTOR_FACILITY)
5857 
5858 zz_func v_opcode_a4xx[256][GEN_MAXARCH] = {
5859  /*A400*/ GENx___x___x___ ,
5860  /*A401*/ GENx___x___x___ ,
5861  /*A402*/ GENx___x___x___ ,
5862  /*A403*/ GENx___x___x___ ,
5863  /*A404*/ GENx___x___x___ ,
5864  /*A405*/ GENx___x___x___ ,
5865  /*A406*/ GENx___x___x___ ,
5866  /*A407*/ GENx___x___x___ ,
5867  /*A408*/ GENx___x___x___ ,
5868  /*A409*/ GENx___x___x___ ,
5869  /*A40A*/ GENx___x___x___ ,
5870  /*A40B*/ GENx___x___x___ ,
5871  /*A40C*/ GENx___x___x___ ,
5872  /*A40D*/ GENx___x___x___ ,
5873  /*A40E*/ GENx___x___x___ ,
5874  /*A40F*/ GENx___x___x___ ,
5875  /*A410*/ GENx___x___x___ ,
5876  /*A411*/ GENx___x___x___ ,
5877  /*A412*/ GENx___x___x___ ,
5878  /*A413*/ GENx___x___x___ ,
5879  /*A414*/ GENx___x___x___ ,
5880  /*A415*/ GENx___x___x___ ,
5881  /*A416*/ GENx___x___x___ ,
5882  /*A417*/ GENx___x___x___ ,
5883  /*A418*/ GENx___x___x___ ,
5884  /*A419*/ GENx___x___x___ ,
5885  /*A41A*/ GENx___x___x___ ,
5886  /*A41B*/ GENx___x___x___ ,
5887  /*A41C*/ GENx___x___x___ ,
5888  /*A41D*/ GENx___x___x___ ,
5889  /*A41E*/ GENx___x___x___ ,
5890  /*A41F*/ GENx___x___x___ ,
5891  /*A420*/ GENx___x___x___ ,
5892  /*A421*/ GENx___x___x___ ,
5893  /*A422*/ GENx___x___x___ ,
5894  /*A423*/ GENx___x___x___ ,
5895  /*A424*/ GENx___x___x___ ,
5896  /*A425*/ GENx___x___x___ ,
5897  /*A426*/ GENx___x___x___ ,
5898  /*A427*/ GENx___x___x___ ,
5899  /*A428*/ GENx___x___x___ ,
5900  /*A429*/ GENx___x___x___ ,
5901  /*A42A*/ GENx___x___x___ ,
5902  /*A42B*/ GENx___x___x___ ,
5903  /*A42C*/ GENx___x___x___ ,
5904  /*A42D*/ GENx___x___x___ ,
5905  /*A42E*/ GENx___x___x___ ,
5906  /*A42F*/ GENx___x___x___ ,
5907  /*A430*/ GENx___x___x___ ,
5908  /*A431*/ GENx___x___x___ ,
5909  /*A432*/ GENx___x___x___ ,
5910  /*A433*/ GENx___x___x___ ,
5911  /*A434*/ GENx___x___x___ ,
5912  /*A435*/ GENx___x___x___ ,
5913  /*A436*/ GENx___x___x___ ,
5914  /*A437*/ GENx___x___x___ ,
5915  /*A438*/ GENx___x___x___ ,
5916  /*A439*/ GENx___x___x___ ,
5917  /*A43A*/ GENx___x___x___ ,
5918  /*A43B*/ GENx___x___x___ ,
5919  /*A43C*/ GENx___x___x___ ,
5920  /*A43D*/ GENx___x___x___ ,
5921  /*A43E*/ GENx___x___x___ ,
5922  /*A43F*/ GENx___x___x___ ,
5923  /*A440*/ GENx___x___x___ ,
5924  /*A441*/ GENx___x___x___ ,
5925  /*A442*/ GENx___x___x___ ,
5926  /*A443*/ GENx___x___x___ ,
5927  /*A444*/ GENx___x___x___ ,
5928  /*A445*/ GENx___x___x___ ,
5929  /*A446*/ GENx___x___x___ ,
5930  /*A447*/ GENx___x___x___ ,
5931  /*A448*/ GENx___x___x___ ,
5932  /*A449*/ GENx___x___x___ ,
5933  /*A44A*/ GENx___x___x___ ,
5934  /*A44B*/ GENx___x___x___ ,
5935  /*A44C*/ GENx___x___x___ ,
5936  /*A44D*/ GENx___x___x___ ,
5937  /*A44E*/ GENx___x___x___ ,
5938  /*A44F*/ GENx___x___x___ ,
5939  /*A450*/ GENx___x___x___ ,
5940  /*A451*/ GENx___x___x___ ,
5941  /*A452*/ GENx___x___x___ ,
5942  /*A453*/ GENx___x___x___ ,
5943  /*A454*/ GENx___x___x___ ,
5944  /*A455*/ GENx___x___x___ ,
5945  /*A456*/ GENx___x___x___ ,
5946  /*A457*/ GENx___x___x___ ,
5947  /*A458*/ GENx___x___x___ ,
5948  /*A459*/ GENx___x___x___ ,
5949  /*A45A*/ GENx___x___x___ ,
5950  /*A45B*/ GENx___x___x___ ,
5951  /*A45C*/ GENx___x___x___ ,
5952  /*A45D*/ GENx___x___x___ ,
5953  /*A45E*/ GENx___x___x___ ,
5954  /*A45F*/ GENx___x___x___ ,
5955  /*A460*/ GENx___x___x___ ,
5956  /*A461*/ GENx___x___x___ ,
5957  /*A462*/ GENx___x___x___ ,
5958  /*A463*/ GENx___x___x___ ,
5959  /*A464*/ GENx___x___x___ ,
5960  /*A465*/ GENx___x___x___ ,
5961  /*A466*/ GENx___x___x___ ,
5962  /*A467*/ GENx___x___x___ ,
5963  /*A468*/ GENx___x___x___ ,
5964  /*A469*/ GENx___x___x___ ,
5965  /*A46A*/ GENx___x___x___ ,
5966  /*A46B*/ GENx___x___x___ ,
5967  /*A46C*/ GENx___x___x___ ,
5968  /*A46D*/ GENx___x___x___ ,
5969  /*A46E*/ GENx___x___x___ ,
5970  /*A46F*/ GENx___x___x___ ,
5971  /*A470*/ GENx___x___x___ ,
5972  /*A471*/ GENx___x___x___ ,
5973  /*A472*/ GENx___x___x___ ,
5974  /*A473*/ GENx___x___x___ ,
5975  /*A474*/ GENx___x___x___ ,
5976  /*A475*/ GENx___x___x___ ,
5977  /*A476*/ GENx___x___x___ ,
5978  /*A477*/ GENx___x___x___ ,
5979  /*A478*/ GENx___x___x___ ,
5980  /*A479*/ GENx___x___x___ ,
5981  /*A47A*/ GENx___x___x___ ,
5982  /*A47B*/ GENx___x___x___ ,
5983  /*A47C*/ GENx___x___x___ ,
5984  /*A47D*/ GENx___x___x___ ,
5985  /*A47E*/ GENx___x___x___ ,
5986  /*A47F*/ GENx___x___x___ ,
5987  /*A480*/ GENx___x___x___ ,
5988  /*A481*/ GENx___x___x___ ,
5989  /*A482*/ GENx___x___x___ ,
5990  /*A483*/ GENx___x___x___ ,
5991  /*A484*/ GENx___x___x___ ,
5992  /*A485*/ GENx___x___x___ ,
5993  /*A486*/ GENx___x___x___ ,
5994  /*A487*/ GENx___x___x___ ,
5995  /*A488*/ GENx___x___x___ ,
5996  /*A489*/ GENx___x___x___ ,
5997  /*A48A*/ GENx___x___x___ ,
5998  /*A48B*/ GENx___x___x___ ,
5999  /*A48C*/ GENx___x___x___ ,
6000  /*A48D*/ GENx___x___x___ ,
6001  /*A48E*/ GENx___x___x___ ,
6002  /*A48F*/ GENx___x___x___ ,
6003  /*A490*/ GENx___x___x___ ,
6004  /*A491*/ GENx___x___x___ ,
6005  /*A492*/ GENx___x___x___ ,
6006  /*A493*/ GENx___x___x___ ,
6007  /*A494*/ GENx___x___x___ ,
6008  /*A495*/ GENx___x___x___ ,
6009  /*A496*/ GENx___x___x___ ,
6010  /*A497*/ GENx___x___x___ ,
6011  /*A498*/ GENx___x___x___ ,
6012  /*A499*/ GENx___x___x___ ,
6013  /*A49A*/ GENx___x___x___ ,
6014  /*A49B*/ GENx___x___x___ ,
6015  /*A49C*/ GENx___x___x___ ,
6016  /*A49D*/ GENx___x___x___ ,
6017  /*A49E*/ GENx___x___x___ ,
6018  /*A49F*/ GENx___x___x___ ,
6019  /*A4A0*/ GENx___x___x___ ,
6020  /*A4A1*/ GENx___x___x___ ,
6021  /*A4A2*/ GENx___x___x___ ,
6022  /*A4A3*/ GENx___x___x___ ,
6023  /*A4A4*/ GENx___x___x___ ,
6024  /*A4A5*/ GENx___x___x___ ,
6025  /*A4A6*/ GENx___x___x___ ,
6026  /*A4A7*/ GENx___x___x___ ,
6027  /*A4A8*/ GENx___x___x___ ,
6028  /*A4A9*/ GENx___x___x___ ,
6029  /*A4AA*/ GENx___x___x___ ,
6030  /*A4AB*/ GENx___x___x___ ,
6031  /*A4AC*/ GENx___x___x___ ,
6032  /*A4AD*/ GENx___x___x___ ,
6033  /*A4AE*/ GENx___x___x___ ,
6034  /*A4AF*/ GENx___x___x___ ,
6035  /*A4B0*/ GENx___x___x___ ,
6036  /*A4B1*/ GENx___x___x___ ,
6037  /*A4B2*/ GENx___x___x___ ,
6038  /*A4B3*/ GENx___x___x___ ,
6039  /*A4B4*/ GENx___x___x___ ,
6040  /*A4B5*/ GENx___x___x___ ,
6041  /*A4B6*/ GENx___x___x___ ,
6042  /*A4B7*/ GENx___x___x___ ,
6043  /*A4B8*/ GENx___x___x___ ,
6044  /*A4B9*/ GENx___x___x___ ,
6045  /*A4BA*/ GENx___x___x___ ,
6046  /*A4BB*/ GENx___x___x___ ,
6047  /*A4BC*/ GENx___x___x___ ,
6048  /*A4BD*/ GENx___x___x___ ,
6049  /*A4BE*/ GENx___x___x___ ,
6050  /*A4BF*/ GENx___x___x___ ,
6051  /*A4C0*/ GENx___x___x___ ,
6052  /*A4C1*/ GENx___x___x___ ,
6053  /*A4C2*/ GENx___x___x___ ,
6054  /*A4C3*/ GENx___x___x___ ,
6055  /*A4C4*/ GENx___x___x___ ,
6056  /*A4C5*/ GENx___x___x___ ,
6057  /*A4C6*/ GENx___x___x___ ,
6058  /*A4C7*/ GENx___x___x___ ,
6059  /*A4C8*/ GENx___x___x___ ,
6060  /*A4C9*/ GENx___x___x___ ,
6061  /*A4CA*/ GENx___x___x___ ,
6062  /*A4CB*/ GENx___x___x___ ,
6063  /*A4CC*/ GENx___x___x___ ,
6064  /*A4CD*/ GENx___x___x___ ,
6065  /*A4CE*/ GENx___x___x___ ,
6066  /*A4CF*/ GENx___x___x___ ,
6067  /*A4D0*/ GENx___x___x___ ,
6068  /*A4D1*/ GENx___x___x___ ,
6069  /*A4D2*/ GENx___x___x___ ,
6070  /*A4D3*/ GENx___x___x___ ,
6071  /*A4D4*/ GENx___x___x___ ,
6072  /*A4D5*/ GENx___x___x___ ,
6073  /*A4D6*/ GENx___x___x___ ,
6074  /*A4D7*/ GENx___x___x___ ,
6075  /*A4D8*/ GENx___x___x___ ,
6076  /*A4D9*/ GENx___x___x___ ,
6077  /*A4DA*/ GENx___x___x___ ,
6078  /*A4DB*/ GENx___x___x___ ,
6079  /*A4DC*/ GENx___x___x___ ,
6080  /*A4DD*/ GENx___x___x___ ,
6081  /*A4DE*/ GENx___x___x___ ,
6082  /*A4DF*/ GENx___x___x___ ,
6083  /*A4E0*/ GENx___x___x___ ,
6084  /*A4E1*/ GENx___x___x___ ,
6085  /*A4E2*/ GENx___x___x___ ,
6086  /*A4E3*/ GENx___x___x___ ,
6087  /*A4E4*/ GENx___x___x___ ,
6088  /*A4E5*/ GENx___x___x___ ,
6089  /*A4E6*/ GENx___x___x___ ,
6090  /*A4E7*/ GENx___x___x___ ,
6091  /*A4E8*/ GENx___x___x___ ,
6092  /*A4E9*/ GENx___x___x___ ,
6093  /*A4EA*/ GENx___x___x___ ,
6094  /*A4EB*/ GENx___x___x___ ,
6095  /*A4EC*/ GENx___x___x___ ,
6096  /*A4ED*/ GENx___x___x___ ,
6097  /*A4EE*/ GENx___x___x___ ,
6098  /*A4EF*/ GENx___x___x___ ,
6099  /*A4F0*/ GENx___x___x___ ,
6100  /*A4F1*/ GENx___x___x___ ,
6101  /*A4F2*/ GENx___x___x___ ,
6102  /*A4F3*/ GENx___x___x___ ,
6103  /*A4F4*/ GENx___x___x___ ,
6104  /*A4F5*/ GENx___x___x___ ,
6105  /*A4F6*/ GENx___x___x___ ,
6106  /*A4F7*/ GENx___x___x___ ,
6107  /*A4F8*/ GENx___x___x___ ,
6108  /*A4F9*/ GENx___x___x___ ,
6109  /*A4FA*/ GENx___x___x___ ,
6110  /*A4FB*/ GENx___x___x___ ,
6111  /*A4FC*/ GENx___x___x___ ,
6112  /*A4FD*/ GENx___x___x___ ,
6113  /*A4FE*/ GENx___x___x___ ,
6114  /*A4FF*/ GENx___x___x___  };
6115 
6116 // #endif /*defined (FEATURE_VECTOR_FACILITY)*/
6117 
6118 // #if defined (FEATURE_VECTOR_FACILITY)
6119 
6120 zz_func v_opcode_a5xx[256][GEN_MAXARCH] = {
6121  /*A500*/ GENx___x___x___ ,
6122  /*A501*/ GENx___x___x___ ,
6123  /*A502*/ GENx___x___x___ ,
6124  /*A503*/ GENx___x___x___ ,
6125  /*A504*/ GENx___x___x___ ,
6126  /*A505*/ GENx___x___x___ ,
6127  /*A506*/ GENx___x___x___ ,
6128  /*A507*/ GENx___x___x___ ,
6129  /*A508*/ GENx___x___x___ ,
6130  /*A509*/ GENx___x___x___ ,
6131  /*A50A*/ GENx___x___x___ ,
6132  /*A50B*/ GENx___x___x___ ,
6133  /*A50C*/ GENx___x___x___ ,
6134  /*A50D*/ GENx___x___x___ ,
6135  /*A50E*/ GENx___x___x___ ,
6136  /*A50F*/ GENx___x___x___ ,
6137  /*A510*/ GENx___x___x___ ,
6138  /*A511*/ GENx___x___x___ ,
6139  /*A512*/ GENx___x___x___ ,
6140  /*A513*/ GENx___x___x___ ,
6141  /*A514*/ GENx___x___x___ ,
6142  /*A515*/ GENx___x___x___ ,
6143  /*A516*/ GENx___x___x___ ,
6144  /*A517*/ GENx___x___x___ ,
6145  /*A518*/ GENx___x___x___ ,
6146  /*A519*/ GENx___x___x___ ,
6147  /*A51A*/ GENx___x___x___ ,
6148  /*A51B*/ GENx___x___x___ ,
6149  /*A51C*/ GENx___x___x___ ,
6150  /*A51D*/ GENx___x___x___ ,
6151  /*A51E*/ GENx___x___x___ ,
6152  /*A51F*/ GENx___x___x___ ,
6153  /*A520*/ GENx___x___x___ ,
6154  /*A521*/ GENx___x___x___ ,
6155  /*A522*/ GENx___x___x___ ,
6156  /*A523*/ GENx___x___x___ ,
6157  /*A524*/ GENx___x___x___ ,
6158  /*A525*/ GENx___x___x___ ,
6159  /*A526*/ GENx___x___x___ ,
6160  /*A527*/ GENx___x___x___ ,
6161  /*A528*/ GENx___x___x___ ,
6162  /*A529*/ GENx___x___x___ ,
6163  /*A52A*/ GENx___x___x___ ,
6164  /*A52B*/ GENx___x___x___ ,
6165  /*A52C*/ GENx___x___x___ ,
6166  /*A52D*/ GENx___x___x___ ,
6167  /*A52E*/ GENx___x___x___ ,
6168  /*A52F*/ GENx___x___x___ ,
6169  /*A530*/ GENx___x___x___ ,
6170  /*A531*/ GENx___x___x___ ,
6171  /*A532*/ GENx___x___x___ ,
6172  /*A533*/ GENx___x___x___ ,
6173  /*A534*/ GENx___x___x___ ,
6174  /*A535*/ GENx___x___x___ ,
6175  /*A536*/ GENx___x___x___ ,
6176  /*A537*/ GENx___x___x___ ,
6177  /*A538*/ GENx___x___x___ ,
6178  /*A539*/ GENx___x___x___ ,
6179  /*A53A*/ GENx___x___x___ ,
6180  /*A53B*/ GENx___x___x___ ,
6181  /*A53C*/ GENx___x___x___ ,
6182  /*A53D*/ GENx___x___x___ ,
6183  /*A53E*/ GENx___x___x___ ,
6184  /*A53F*/ GENx___x___x___ ,
6185  /*A540*/ GENx___x___x___ ,
6186  /*A541*/ GENx___x___x___ ,
6187  /*A542*/ GENx___x___x___ ,
6188  /*A543*/ GENx___x___x___ ,
6189  /*A544*/ GENx___x___x___ ,
6190  /*A545*/ GENx___x___x___ ,
6191  /*A546*/ GENx___x___x___ ,
6192  /*A547*/ GENx___x___x___ ,
6193  /*A548*/ GENx___x___x___ ,
6194  /*A549*/ GENx___x___x___ ,
6195  /*A54A*/ GENx___x___x___ ,
6196  /*A54B*/ GENx___x___x___ ,
6197  /*A54C*/ GENx___x___x___ ,
6198  /*A54D*/ GENx___x___x___ ,
6199  /*A54E*/ GENx___x___x___ ,
6200  /*A54F*/ GENx___x___x___ ,
6201  /*A550*/ GENx___x___x___ ,
6202  /*A551*/ GENx___x___x___ ,
6203  /*A552*/ GENx___x___x___ ,
6204  /*A553*/ GENx___x___x___ ,
6205  /*A554*/ GENx___x___x___ ,
6206  /*A555*/ GENx___x___x___ ,
6207  /*A556*/ GENx___x___x___ ,
6208  /*A557*/ GENx___x___x___ ,
6209  /*A558*/ GENx___x___x___ ,
6210  /*A559*/ GENx___x___x___ ,
6211  /*A55A*/ GENx___x___x___ ,
6212  /*A55B*/ GENx___x___x___ ,
6213  /*A55C*/ GENx___x___x___ ,
6214  /*A55D*/ GENx___x___x___ ,
6215  /*A55E*/ GENx___x___x___ ,
6216  /*A55F*/ GENx___x___x___ ,
6217  /*A560*/ GENx___x___x___ ,
6218  /*A561*/ GENx___x___x___ ,
6219  /*A562*/ GENx___x___x___ ,
6220  /*A563*/ GENx___x___x___ ,
6221  /*A564*/ GENx___x___x___ ,
6222  /*A565*/ GENx___x___x___ ,
6223  /*A566*/ GENx___x___x___ ,
6224  /*A567*/ GENx___x___x___ ,
6225  /*A568*/ GENx___x___x___ ,
6226  /*A569*/ GENx___x___x___ ,
6227  /*A56A*/ GENx___x___x___ ,
6228  /*A56B*/ GENx___x___x___ ,
6229  /*A56C*/ GENx___x___x___ ,
6230  /*A56D*/ GENx___x___x___ ,
6231  /*A56E*/ GENx___x___x___ ,
6232  /*A56F*/ GENx___x___x___ ,
6233  /*A570*/ GENx___x___x___ ,
6234  /*A571*/ GENx___x___x___ ,
6235  /*A572*/ GENx___x___x___ ,
6236  /*A573*/ GENx___x___x___ ,
6237  /*A574*/ GENx___x___x___ ,
6238  /*A575*/ GENx___x___x___ ,
6239  /*A576*/ GENx___x___x___ ,
6240  /*A577*/ GENx___x___x___ ,
6241  /*A578*/ GENx___x___x___ ,
6242  /*A579*/ GENx___x___x___ ,
6243  /*A57A*/ GENx___x___x___ ,
6244  /*A57B*/ GENx___x___x___ ,
6245  /*A57C*/ GENx___x___x___ ,
6246  /*A57D*/ GENx___x___x___ ,
6247  /*A57E*/ GENx___x___x___ ,
6248  /*A57F*/ GENx___x___x___ ,
6249  /*A580*/ GENx___x___x___ ,
6250  /*A581*/ GENx___x___x___ ,
6251  /*A582*/ GENx___x___x___ ,
6252  /*A583*/ GENx___x___x___ ,
6253  /*A584*/ GENx___x___x___ ,
6254  /*A585*/ GENx___x___x___ ,
6255  /*A586*/ GENx___x___x___ ,
6256  /*A587*/ GENx___x___x___ ,
6257  /*A588*/ GENx___x___x___ ,
6258  /*A589*/ GENx___x___x___ ,
6259  /*A58A*/ GENx___x___x___ ,
6260  /*A58B*/ GENx___x___x___ ,
6261  /*A58C*/ GENx___x___x___ ,
6262  /*A58D*/ GENx___x___x___ ,
6263  /*A58E*/ GENx___x___x___ ,
6264  /*A58F*/ GENx___x___x___ ,
6265  /*A590*/ GENx___x___x___ ,
6266  /*A591*/ GENx___x___x___ ,
6267  /*A592*/ GENx___x___x___ ,
6268  /*A593*/ GENx___x___x___ ,
6269  /*A594*/ GENx___x___x___ ,
6270  /*A595*/ GENx___x___x___ ,
6271  /*A596*/ GENx___x___x___ ,
6272  /*A597*/ GENx___x___x___ ,
6273  /*A598*/ GENx___x___x___ ,
6274  /*A599*/ GENx___x___x___ ,
6275  /*A59A*/ GENx___x___x___ ,
6276  /*A59B*/ GENx___x___x___ ,
6277  /*A59C*/ GENx___x___x___ ,
6278  /*A59D*/ GENx___x___x___ ,
6279  /*A59E*/ GENx___x___x___ ,
6280  /*A59F*/ GENx___x___x___ ,
6281  /*A5A0*/ GENx___x___x___ ,
6282  /*A5A1*/ GENx___x___x___ ,
6283  /*A5A2*/ GENx___x___x___ ,
6284  /*A5A3*/ GENx___x___x___ ,
6285  /*A5A4*/ GENx___x___x___ ,
6286  /*A5A5*/ GENx___x___x___ ,
6287  /*A5A6*/ GENx___x___x___ ,
6288  /*A5A7*/ GENx___x___x___ ,
6289  /*A5A8*/ GENx___x___x___ ,
6290  /*A5A9*/ GENx___x___x___ ,
6291  /*A5AA*/ GENx___x___x___ ,
6292  /*A5AB*/ GENx___x___x___ ,
6293  /*A5AC*/ GENx___x___x___ ,
6294  /*A5AD*/ GENx___x___x___ ,
6295  /*A5AE*/ GENx___x___x___ ,
6296  /*A5AF*/ GENx___x___x___ ,
6297  /*A5B0*/ GENx___x___x___ ,
6298  /*A5B1*/ GENx___x___x___ ,
6299  /*A5B2*/ GENx___x___x___ ,
6300  /*A5B3*/ GENx___x___x___ ,
6301  /*A5B4*/ GENx___x___x___ ,
6302  /*A5B5*/ GENx___x___x___ ,
6303  /*A5B6*/ GENx___x___x___ ,
6304  /*A5B7*/ GENx___x___x___ ,
6305  /*A5B8*/ GENx___x___x___ ,
6306  /*A5B9*/ GENx___x___x___ ,
6307  /*A5BA*/ GENx___x___x___ ,
6308  /*A5BB*/ GENx___x___x___ ,
6309  /*A5BC*/ GENx___x___x___ ,
6310  /*A5BD*/ GENx___x___x___ ,
6311  /*A5BE*/ GENx___x___x___ ,
6312  /*A5BF*/ GENx___x___x___ ,
6313  /*A5C0*/ GENx___x___x___ ,
6314  /*A5C1*/ GENx___x___x___ ,
6315  /*A5C2*/ GENx___x___x___ ,
6316  /*A5C3*/ GENx___x___x___ ,
6317  /*A5C4*/ GENx___x___x___ ,
6318  /*A5C5*/ GENx___x___x___ ,
6319  /*A5C6*/ GENx___x___x___ ,
6320  /*A5C7*/ GENx___x___x___ ,
6321  /*A5C8*/ GENx___x___x___ ,
6322  /*A5C9*/ GENx___x___x___ ,
6323  /*A5CA*/ GENx___x___x___ ,
6324  /*A5CB*/ GENx___x___x___ ,
6325  /*A5CC*/ GENx___x___x___ ,
6326  /*A5CD*/ GENx___x___x___ ,
6327  /*A5CE*/ GENx___x___x___ ,
6328  /*A5CF*/ GENx___x___x___ ,
6329  /*A5D0*/ GENx___x___x___ ,
6330  /*A5D1*/ GENx___x___x___ ,
6331  /*A5D2*/ GENx___x___x___ ,
6332  /*A5D3*/ GENx___x___x___ ,
6333  /*A5D4*/ GENx___x___x___ ,
6334  /*A5D5*/ GENx___x___x___ ,
6335  /*A5D6*/ GENx___x___x___ ,
6336  /*A5D7*/ GENx___x___x___ ,
6337  /*A5D8*/ GENx___x___x___ ,
6338  /*A5D9*/ GENx___x___x___ ,
6339  /*A5DA*/ GENx___x___x___ ,
6340  /*A5DB*/ GENx___x___x___ ,
6341  /*A5DC*/ GENx___x___x___ ,
6342  /*A5DD*/ GENx___x___x___ ,
6343  /*A5DE*/ GENx___x___x___ ,
6344  /*A5DF*/ GENx___x___x___ ,
6345  /*A5E0*/ GENx___x___x___ ,
6346  /*A5E1*/ GENx___x___x___ ,
6347  /*A5E2*/ GENx___x___x___ ,
6348  /*A5E3*/ GENx___x___x___ ,
6349  /*A5E4*/ GENx___x___x___ ,
6350  /*A5E5*/ GENx___x___x___ ,
6351  /*A5E6*/ GENx___x___x___ ,
6352  /*A5E7*/ GENx___x___x___ ,
6353  /*A5E8*/ GENx___x___x___ ,
6354  /*A5E9*/ GENx___x___x___ ,
6355  /*A5EA*/ GENx___x___x___ ,
6356  /*A5EB*/ GENx___x___x___ ,
6357  /*A5EC*/ GENx___x___x___ ,
6358  /*A5ED*/ GENx___x___x___ ,
6359  /*A5EE*/ GENx___x___x___ ,
6360  /*A5EF*/ GENx___x___x___ ,
6361  /*A5F0*/ GENx___x___x___ ,
6362  /*A5F1*/ GENx___x___x___ ,
6363  /*A5F2*/ GENx___x___x___ ,
6364  /*A5F3*/ GENx___x___x___ ,
6365  /*A5F4*/ GENx___x___x___ ,
6366  /*A5F5*/ GENx___x___x___ ,
6367  /*A5F6*/ GENx___x___x___ ,
6368  /*A5F7*/ GENx___x___x___ ,
6369  /*A5F8*/ GENx___x___x___ ,
6370  /*A5F9*/ GENx___x___x___ ,
6371  /*A5FA*/ GENx___x___x___ ,
6372  /*A5FB*/ GENx___x___x___ ,
6373  /*A5FC*/ GENx___x___x___ ,
6374  /*A5FD*/ GENx___x___x___ ,
6375  /*A5FE*/ GENx___x___x___ ,
6376  /*A5FF*/ GENx___x___x___  };
6377 
6378 // #endif /*defined (FEATURE_VECTOR_FACILITY)*/
6379 
6380 // #if defined (FEATURE_VECTOR_FACILITY)
6381 
6382 zz_func v_opcode_a6xx[256][GEN_MAXARCH] = {
6383  /*A600*/ GENx___x___x___ ,
6384  /*A601*/ GENx___x___x___ ,
6385  /*A602*/ GENx___x___x___ ,
6386  /*A603*/ GENx___x___x___ ,
6387  /*A604*/ GENx___x___x___ ,
6388  /*A605*/ GENx___x___x___ ,
6389  /*A606*/ GENx___x___x___ ,
6390  /*A607*/ GENx___x___x___ ,
6391  /*A608*/ GENx___x___x___ ,
6392  /*A609*/ GENx___x___x___ ,
6393  /*A60A*/ GENx___x___x___ ,
6394  /*A60B*/ GENx___x___x___ ,
6395  /*A60C*/ GENx___x___x___ ,
6396  /*A60D*/ GENx___x___x___ ,
6397  /*A60E*/ GENx___x___x___ ,
6398  /*A60F*/ GENx___x___x___ ,
6399  /*A610*/ GENx___x___x___ ,
6400  /*A611*/ GENx___x___x___ ,
6401  /*A612*/ GENx___x___x___ ,
6402  /*A613*/ GENx___x___x___ ,
6403  /*A614*/ GENx___x___x___ ,
6404  /*A615*/ GENx___x___x___ ,
6405  /*A616*/ GENx___x___x___ ,
6406  /*A617*/ GENx___x___x___ ,
6407  /*A618*/ GENx___x___x___ ,
6408  /*A619*/ GENx___x___x___ ,
6409  /*A61A*/ GENx___x___x___ ,
6410  /*A61B*/ GENx___x___x___ ,
6411  /*A61C*/ GENx___x___x___ ,
6412  /*A61D*/ GENx___x___x___ ,
6413  /*A61E*/ GENx___x___x___ ,
6414  /*A61F*/ GENx___x___x___ ,
6415  /*A620*/ GENx___x___x___ ,
6416  /*A621*/ GENx___x___x___ ,
6417  /*A622*/ GENx___x___x___ ,
6418  /*A623*/ GENx___x___x___ ,
6419  /*A624*/ GENx___x___x___ ,
6420  /*A625*/ GENx___x___x___ ,
6421  /*A626*/ GENx___x___x___ ,
6422  /*A627*/ GENx___x___x___ ,
6423  /*A628*/ GENx___x___x___ ,
6424  /*A629*/ GENx___x___x___ ,
6425  /*A62A*/ GENx___x___x___ ,
6426  /*A62B*/ GENx___x___x___ ,
6427  /*A62C*/ GENx___x___x___ ,
6428  /*A62D*/ GENx___x___x___ ,
6429  /*A62E*/ GENx___x___x___ ,
6430  /*A62F*/ GENx___x___x___ ,
6431  /*A630*/ GENx___x___x___ ,
6432  /*A631*/ GENx___x___x___ ,
6433  /*A632*/ GENx___x___x___ ,
6434  /*A633*/ GENx___x___x___ ,
6435  /*A634*/ GENx___x___x___ ,
6436  /*A635*/ GENx___x___x___ ,
6437  /*A636*/ GENx___x___x___ ,
6438  /*A637*/ GENx___x___x___ ,
6439  /*A638*/ GENx___x___x___ ,
6440  /*A639*/ GENx___x___x___ ,
6441  /*A63A*/ GENx___x___x___ ,
6442  /*A63B*/ GENx___x___x___ ,
6443  /*A63C*/ GENx___x___x___ ,
6444  /*A63D*/ GENx___x___x___ ,
6445  /*A63E*/ GENx___x___x___ ,
6446  /*A63F*/ GENx___x___x___ ,
6447  /*A640*/ GENx370x390x___ (v_test_vmr,RRE,"VTVM"),
6448  /*A641*/ GENx370x390x___ (v_complement_vmr,RRE,"VCVM"),
6449  /*A642*/ GENx370x390x___ (v_count_left_zeros_in_vmr,RRE,"VCZVM"),
6450  /*A643*/ GENx370x390x___ (v_count_ones_in_vmr,RRE,"VCOVM"),
6451  /*A644*/ GENx370x390x___ (v_extract_vct,RRE,"VXVC"),
6452  /*A645*/ GENx___x___x___ ,
6453  /*A646*/ GENx370x390x___ (v_extract_vector_modes,RRE,"VXVMM"),
6454  /*A647*/ GENx___x___x___ ,
6455  /*A648*/ GENx370x390x___ (v_restore_vr,RRE,"VRRS"),
6456  /*A649*/ GENx370x390x___ (v_save_changed_vr,RRE,"VRSVC"),
6457  /*A64A*/ GENx370x390x___ (v_save_vr,RRE,"VRSV"),
6458  /*A64B*/ GENx___x___x___ ,
6459  /*A64C*/ GENx___x___x___ ,
6460  /*A64D*/ GENx___x___x___ ,
6461  /*A64E*/ GENx___x___x___ ,
6462  /*A64F*/ GENx___x___x___ ,
6463  /*A650*/ GENx___x___x___ ,
6464  /*A651*/ GENx___x___x___ ,
6465  /*A652*/ GENx___x___x___ ,
6466  /*A653*/ GENx___x___x___ ,
6467  /*A654*/ GENx___x___x___ ,
6468  /*A655*/ GENx___x___x___ ,
6469  /*A656*/ GENx___x___x___ ,
6470  /*A657*/ GENx___x___x___ ,
6471  /*A658*/ GENx___x___x___ ,
6472  /*A659*/ GENx___x___x___ ,
6473  /*A65A*/ GENx___x___x___ ,
6474  /*A65B*/ GENx___x___x___ ,
6475  /*A65C*/ GENx___x___x___ ,
6476  /*A65D*/ GENx___x___x___ ,
6477  /*A65E*/ GENx___x___x___ ,
6478  /*A65F*/ GENx___x___x___ ,
6479  /*A660*/ GENx___x___x___ ,
6480  /*A661*/ GENx___x___x___ ,
6481  /*A662*/ GENx___x___x___ ,
6482  /*A663*/ GENx___x___x___ ,
6483  /*A664*/ GENx___x___x___ ,
6484  /*A665*/ GENx___x___x___ ,
6485  /*A666*/ GENx___x___x___ ,
6486  /*A667*/ GENx___x___x___ ,
6487  /*A668*/ GENx___x___x___ ,
6488  /*A669*/ GENx___x___x___ ,
6489  /*A66A*/ GENx___x___x___ ,
6490  /*A66B*/ GENx___x___x___ ,
6491  /*A66C*/ GENx___x___x___ ,
6492  /*A66D*/ GENx___x___x___ ,
6493  /*A66E*/ GENx___x___x___ ,
6494  /*A66F*/ GENx___x___x___ ,
6495  /*A670*/ GENx___x___x___ ,
6496  /*A671*/ GENx___x___x___ ,
6497  /*A672*/ GENx___x___x___ ,
6498  /*A673*/ GENx___x___x___ ,
6499  /*A674*/ GENx___x___x___ ,
6500  /*A675*/ GENx___x___x___ ,
6501  /*A676*/ GENx___x___x___ ,
6502  /*A677*/ GENx___x___x___ ,
6503  /*A678*/ GENx___x___x___ ,
6504  /*A679*/ GENx___x___x___ ,
6505  /*A67A*/ GENx___x___x___ ,
6506  /*A67B*/ GENx___x___x___ ,
6507  /*A67C*/ GENx___x___x___ ,
6508  /*A67D*/ GENx___x___x___ ,
6509  /*A67E*/ GENx___x___x___ ,
6510  /*A67F*/ GENx___x___x___ ,
6511  /*A680*/ GENx370x390x___ (v_load_vmr,VS,"VLVM"),
6512  /*A681*/ GENx370x390x___ (v_load_vmr_complement,VS,"VLCVM"),
6513  /*A682*/ GENx370x390x___ (v_store_vmr,VS,"VSTVM"),
6514  /*A683*/ GENx___x___x___ ,
6515  /*A684*/ GENx370x390x___ (v_and_to_vmr,VS,"VNVM"),
6516  /*A685*/ GENx370x390x___ (v_or_to_vmr,VS,"VOVM"),
6517  /*A686*/ GENx370x390x___ (v_exclusive_or_to_vmr,VS,"VXVM"),
6518  /*A687*/ GENx___x___x___ ,
6519  /*A688*/ GENx___x___x___ ,
6520  /*A689*/ GENx___x___x___ ,
6521  /*A68A*/ GENx___x___x___ ,
6522  /*A68B*/ GENx___x___x___ ,
6523  /*A68C*/ GENx___x___x___ ,
6524  /*A68D*/ GENx___x___x___ ,
6525  /*A68E*/ GENx___x___x___ ,
6526  /*A68F*/ GENx___x___x___ ,
6527  /*A690*/ GENx___x___x___ ,
6528  /*A691*/ GENx___x___x___ ,
6529  /*A692*/ GENx___x___x___ ,
6530  /*A693*/ GENx___x___x___ ,
6531  /*A694*/ GENx___x___x___ ,
6532  /*A695*/ GENx___x___x___ ,
6533  /*A696*/ GENx___x___x___ ,
6534  /*A697*/ GENx___x___x___ ,
6535  /*A698*/ GENx___x___x___ ,
6536  /*A699*/ GENx___x___x___ ,
6537  /*A69A*/ GENx___x___x___ ,
6538  /*A69B*/ GENx___x___x___ ,
6539  /*A69C*/ GENx___x___x___ ,
6540  /*A69D*/ GENx___x___x___ ,
6541  /*A69E*/ GENx___x___x___ ,
6542  /*A69F*/ GENx___x___x___ ,
6543  /*A6A0*/ GENx___x___x___ ,
6544  /*A6A1*/ GENx___x___x___ ,
6545  /*A6A2*/ GENx___x___x___ ,
6546  /*A6A3*/ GENx___x___x___ ,
6547  /*A6A4*/ GENx___x___x___ ,
6548  /*A6A5*/ GENx___x___x___ ,
6549  /*A6A6*/ GENx___x___x___ ,
6550  /*A6A7*/ GENx___x___x___ ,
6551  /*A6A8*/ GENx___x___x___ ,
6552  /*A6A9*/ GENx___x___x___ ,
6553  /*A6AA*/ GENx___x___x___ ,
6554  /*A6AB*/ GENx___x___x___ ,
6555  /*A6AC*/ GENx___x___x___ ,
6556  /*A6AD*/ GENx___x___x___ ,
6557  /*A6AE*/ GENx___x___x___ ,
6558  /*A6AF*/ GENx___x___x___ ,
6559  /*A6B0*/ GENx___x___x___ ,
6560  /*A6B1*/ GENx___x___x___ ,
6561  /*A6B2*/ GENx___x___x___ ,
6562  /*A6B3*/ GENx___x___x___ ,
6563  /*A6B4*/ GENx___x___x___ ,
6564  /*A6B5*/ GENx___x___x___ ,
6565  /*A6B6*/ GENx___x___x___ ,
6566  /*A6B7*/ GENx___x___x___ ,
6567  /*A6B8*/ GENx___x___x___ ,
6568  /*A6B9*/ GENx___x___x___ ,
6569  /*A6BA*/ GENx___x___x___ ,
6570  /*A6BB*/ GENx___x___x___ ,
6571  /*A6BC*/ GENx___x___x___ ,
6572  /*A6BD*/ GENx___x___x___ ,
6573  /*A6BE*/ GENx___x___x___ ,
6574  /*A6BF*/ GENx___x___x___ ,
6575  /*A6C0*/ GENx370x390x___ (v_save_vsr,S,"VSRSV"),
6576  /*A6C1*/ GENx370x390x___ (v_save_vmr,S,"VMRSV"),
6577  /*A6C2*/ GENx370x390x___ (v_restore_vsr,S,"VSRRS"),
6578  /*A6C3*/ GENx370x390x___ (v_restore_vmr,S,"VMRRS"),
6579  /*A6C4*/ GENx370x390x___ (v_load_vct_from_address,S,"VLVCA"),
6580  /*A6C5*/ GENx370x390x___ (v_clear_vr,S,"VRCL"),
6581  /*A6C6*/ GENx370x390x___ (v_set_vector_mask_mode,S,"VSVMM"),
6582  /*A6C7*/ GENx370x390x___ (v_load_vix_from_address,S,"VLVXA"),
6583  /*A6C8*/ GENx370x390x___ (v_store_vector_parameters,S,"VSTVP"),
6584  /*A6C9*/ GENx___x___x___ ,
6585  /*A6CA*/ GENx370x390x___ (v_save_vac,S,"VACSV"),
6586  /*A6CB*/ GENx370x390x___ (v_restore_vac,S,"VACRS"),
6587  /*A6CC*/ GENx___x___x___ ,
6588  /*A6CD*/ GENx___x___x___ ,
6589  /*A6CE*/ GENx___x___x___ ,
6590  /*A6CF*/ GENx___x___x___ ,
6591  /*A6D0*/ GENx___x___x___ ,
6592  /*A6D1*/ GENx___x___x___ ,
6593  /*A6D2*/ GENx___x___x___ ,
6594  /*A6D3*/ GENx___x___x___ ,
6595  /*A6D4*/ GENx___x___x___ ,
6596  /*A6D5*/ GENx___x___x___ ,
6597  /*A6D6*/ GENx___x___x___ ,
6598  /*A6D7*/ GENx___x___x___ ,
6599  /*A6D8*/ GENx___x___x___ ,
6600  /*A6D9*/ GENx___x___x___ ,
6601  /*A6DA*/ GENx___x___x___ ,
6602  /*A6DB*/ GENx___x___x___ ,
6603  /*A6DC*/ GENx___x___x___ ,
6604  /*A6DD*/ GENx___x___x___ ,
6605  /*A6DE*/ GENx___x___x___ ,
6606  /*A6DF*/ GENx___x___x___ ,
6607  /*A6E0*/ GENx___x___x___ ,
6608  /*A6E1*/ GENx___x___x___ ,
6609  /*A6E2*/ GENx___x___x___ ,
6610  /*A6E3*/ GENx___x___x___ ,
6611  /*A6E4*/ GENx___x___x___ ,
6612  /*A6E5*/ GENx___x___x___ ,
6613  /*A6E6*/ GENx___x___x___ ,
6614  /*A6E7*/ GENx___x___x___ ,
6615  /*A6E8*/ GENx___x___x___ ,
6616  /*A6E9*/ GENx___x___x___ ,
6617  /*A6EA*/ GENx___x___x___ ,
6618  /*A6EB*/ GENx___x___x___ ,
6619  /*A6EC*/ GENx___x___x___ ,
6620  /*A6ED*/ GENx___x___x___ ,
6621  /*A6EE*/ GENx___x___x___ ,
6622  /*A6EF*/ GENx___x___x___ ,
6623  /*A6F0*/ GENx___x___x___ ,
6624  /*A6F1*/ GENx___x___x___ ,
6625  /*A6F2*/ GENx___x___x___ ,
6626  /*A6F3*/ GENx___x___x___ ,
6627  /*A6F4*/ GENx___x___x___ ,
6628  /*A6F5*/ GENx___x___x___ ,
6629  /*A6F6*/ GENx___x___x___ ,
6630  /*A6F7*/ GENx___x___x___ ,
6631  /*A6F8*/ GENx___x___x___ ,
6632  /*A6F9*/ GENx___x___x___ ,
6633  /*A6FA*/ GENx___x___x___ ,
6634  /*A6FB*/ GENx___x___x___ ,
6635  /*A6FC*/ GENx___x___x___ ,
6636  /*A6FD*/ GENx___x___x___ ,
6637  /*A6FE*/ GENx___x___x___ ,
6638  /*A6FF*/ GENx___x___x___  };
6639 
6640 // #endif /*defined (FEATURE_VECTOR_FACILITY)*/
6641 
6642 
6643 // #if defined (FEATURE_VECTOR_FACILITY)
6644 
6645 zz_func v_opcode_e4xx[256][GEN_MAXARCH] = {
6646  /*E400*/ GENx___x___x___ ,
6647  /*E401*/ GENx___x___x___ ,
6648  /*E402*/ GENx___x___x___ ,
6649  /*E403*/ GENx___x___x___ ,
6650  /*E404*/ GENx___x___x___ ,
6651  /*E405*/ GENx___x___x___ ,
6652  /*E406*/ GENx___x___x___ ,
6653  /*E407*/ GENx___x___x___ ,
6654  /*E408*/ GENx___x___x___ ,
6655  /*E409*/ GENx___x___x___ ,
6656  /*E40A*/ GENx___x___x___ ,
6657  /*E40B*/ GENx___x___x___ ,
6658  /*E40C*/ GENx___x___x___ ,
6659  /*E40D*/ GENx___x___x___ ,
6660  /*E40E*/ GENx___x___x___ ,
6661  /*E40F*/ GENx___x___x___ ,
6662  /*E410*/ GENx___x___x___ ,
6663  /*E411*/ GENx___x___x___ ,
6664  /*E412*/ GENx___x___x___ ,
6665  /*E413*/ GENx___x___x___ ,
6666  /*E414*/ GENx___x___x___ ,
6667  /*E415*/ GENx___x___x___ ,
6668  /*E416*/ GENx___x___x___ ,
6669  /*E417*/ GENx___x___x___ ,
6670  /*E418*/ GENx___x___x___ ,
6671  /*E419*/ GENx___x___x___ ,
6672  /*E41A*/ GENx___x___x___ ,
6673  /*E41B*/ GENx___x___x___ ,
6674  /*E41C*/ GENx___x___x___ ,
6675  /*E41D*/ GENx___x___x___ ,
6676  /*E41E*/ GENx___x___x___ ,
6677  /*E41F*/ GENx___x___x___ ,
6678  /*E420*/ GENx___x___x___ ,
6679  /*E421*/ GENx___x___x___ ,
6680  /*E422*/ GENx___x___x___ ,
6681  /*E423*/ GENx___x___x___ ,
6682  /*E424*/ GENx___x___x___ ,
6683  /*E425*/ GENx___x___x___ ,
6684  /*E426*/ GENx___x___x___ ,
6685  /*E427*/ GENx___x___x___ ,
6686  /*E428*/ GENx___x___x___ ,
6687  /*E429*/ GENx___x___x___ ,
6688  /*E42A*/ GENx___x___x___ ,
6689  /*E42B*/ GENx___x___x___ ,
6690  /*E42C*/ GENx___x___x___ ,
6691  /*E42D*/ GENx___x___x___ ,
6692  /*E42E*/ GENx___x___x___ ,
6693  /*E42F*/ GENx___x___x___ ,
6694  /*E430*/ GENx___x___x___ ,
6695  /*E431*/ GENx___x___x___ ,
6696  /*E432*/ GENx___x___x___ ,
6697  /*E433*/ GENx___x___x___ ,
6698  /*E434*/ GENx___x___x___ ,
6699  /*E435*/ GENx___x___x___ ,
6700  /*E436*/ GENx___x___x___ ,
6701  /*E437*/ GENx___x___x___ ,
6702  /*E438*/ GENx___x___x___ ,
6703  /*E439*/ GENx___x___x___ ,
6704  /*E43A*/ GENx___x___x___ ,
6705  /*E43B*/ GENx___x___x___ ,
6706  /*E43C*/ GENx___x___x___ ,
6707  /*E43D*/ GENx___x___x___ ,
6708  /*E43E*/ GENx___x___x___ ,
6709  /*E43F*/ GENx___x___x___ ,
6710  /*E440*/ GENx___x___x___ ,
6711  /*E441*/ GENx___x___x___ ,
6712  /*E442*/ GENx___x___x___ ,
6713  /*E443*/ GENx___x___x___ ,
6714  /*E444*/ GENx___x___x___ ,
6715  /*E445*/ GENx___x___x___ ,
6716  /*E446*/ GENx___x___x___ ,
6717  /*E447*/ GENx___x___x___ ,
6718  /*E448*/ GENx___x___x___ ,
6719  /*E449*/ GENx___x___x___ ,
6720  /*E44A*/ GENx___x___x___ ,
6721  /*E44B*/ GENx___x___x___ ,
6722  /*E44C*/ GENx___x___x___ ,
6723  /*E44D*/ GENx___x___x___ ,
6724  /*E44E*/ GENx___x___x___ ,
6725  /*E44F*/ GENx___x___x___ ,
6726  /*E450*/ GENx___x___x___ ,
6727  /*E451*/ GENx___x___x___ ,
6728  /*E452*/ GENx___x___x___ ,
6729  /*E453*/ GENx___x___x___ ,
6730  /*E454*/ GENx___x___x___ ,
6731  /*E455*/ GENx___x___x___ ,
6732  /*E456*/ GENx___x___x___ ,
6733  /*E457*/ GENx___x___x___ ,
6734  /*E458*/ GENx___x___x___ ,
6735  /*E459*/ GENx___x___x___ ,
6736  /*E45A*/ GENx___x___x___ ,
6737  /*E45B*/ GENx___x___x___ ,
6738  /*E45C*/ GENx___x___x___ ,
6739  /*E45D*/ GENx___x___x___ ,
6740  /*E45E*/ GENx___x___x___ ,
6741  /*E45F*/ GENx___x___x___ ,
6742  /*E460*/ GENx___x___x___ ,
6743  /*E461*/ GENx___x___x___ ,
6744  /*E462*/ GENx___x___x___ ,
6745  /*E463*/ GENx___x___x___ ,
6746  /*E464*/ GENx___x___x___ ,
6747  /*E465*/ GENx___x___x___ ,
6748  /*E466*/ GENx___x___x___ ,
6749  /*E467*/ GENx___x___x___ ,
6750  /*E468*/ GENx___x___x___ ,
6751  /*E469*/ GENx___x___x___ ,
6752  /*E46A*/ GENx___x___x___ ,
6753  /*E46B*/ GENx___x___x___ ,
6754  /*E46C*/ GENx___x___x___ ,
6755  /*E46D*/ GENx___x___x___ ,
6756  /*E46E*/ GENx___x___x___ ,
6757  /*E46F*/ GENx___x___x___ ,
6758  /*E470*/ GENx___x___x___ ,
6759  /*E471*/ GENx___x___x___ ,
6760  /*E472*/ GENx___x___x___ ,
6761  /*E473*/ GENx___x___x___ ,
6762  /*E474*/ GENx___x___x___ ,
6763  /*E475*/ GENx___x___x___ ,
6764  /*E476*/ GENx___x___x___ ,
6765  /*E477*/ GENx___x___x___ ,
6766  /*E478*/ GENx___x___x___ ,
6767  /*E479*/ GENx___x___x___ ,
6768  /*E47A*/ GENx___x___x___ ,
6769  /*E47B*/ GENx___x___x___ ,
6770  /*E47C*/ GENx___x___x___ ,
6771  /*E47D*/ GENx___x___x___ ,
6772  /*E47E*/ GENx___x___x___ ,
6773  /*E47F*/ GENx___x___x___ ,
6774  /*E480*/ GENx___x___x___ ,
6775  /*E481*/ GENx___x___x___ ,
6776  /*E482*/ GENx___x___x___ ,
6777  /*E483*/ GENx___x___x___ ,
6778  /*E484*/ GENx___x___x___ ,
6779  /*E485*/ GENx___x___x___ ,
6780  /*E486*/ GENx___x___x___ ,
6781  /*E487*/ GENx___x___x___ ,
6782  /*E488*/ GENx___x___x___ ,
6783  /*E489*/ GENx___x___x___ ,
6784  /*E48A*/ GENx___x___x___ ,
6785  /*E48B*/ GENx___x___x___ ,
6786  /*E48C*/ GENx___x___x___ ,
6787  /*E48D*/ GENx___x___x___ ,
6788  /*E48E*/ GENx___x___x___ ,
6789  /*E48F*/ GENx___x___x___ ,
6790  /*E490*/ GENx___x___x___ ,
6791  /*E491*/ GENx___x___x___ ,
6792  /*E492*/ GENx___x___x___ ,
6793  /*E493*/ GENx___x___x___ ,
6794  /*E494*/ GENx___x___x___ ,
6795  /*E495*/ GENx___x___x___ ,
6796  /*E496*/ GENx___x___x___ ,
6797  /*E497*/ GENx___x___x___ ,
6798  /*E498*/ GENx___x___x___ ,
6799  /*E499*/ GENx___x___x___ ,
6800  /*E49A*/ GENx___x___x___ ,
6801  /*E49B*/ GENx___x___x___ ,
6802  /*E49C*/ GENx___x___x___ ,
6803  /*E49D*/ GENx___x___x___ ,
6804  /*E49E*/ GENx___x___x___ ,
6805  /*E49F*/ GENx___x___x___ ,
6806  /*E4A0*/ GENx___x___x___ ,
6807  /*E4A1*/ GENx___x___x___ ,
6808  /*E4A2*/ GENx___x___x___ ,
6809  /*E4A3*/ GENx___x___x___ ,
6810  /*E4A4*/ GENx___x___x___ ,
6811  /*E4A5*/ GENx___x___x___ ,
6812  /*E4A6*/ GENx___x___x___ ,
6813  /*E4A7*/ GENx___x___x___ ,
6814  /*E4A8*/ GENx___x___x___ ,
6815  /*E4A9*/ GENx___x___x___ ,
6816  /*E4AA*/ GENx___x___x___ ,
6817  /*E4AB*/ GENx___x___x___ ,
6818  /*E4AC*/ GENx___x___x___ ,
6819  /*E4AD*/ GENx___x___x___ ,
6820  /*E4AE*/ GENx___x___x___ ,
6821  /*E4AF*/ GENx___x___x___ ,
6822  /*E4B0*/ GENx___x___x___ ,
6823  /*E4B1*/ GENx___x___x___ ,
6824  /*E4B2*/ GENx___x___x___ ,
6825  /*E4B3*/ GENx___x___x___ ,
6826  /*E4B4*/ GENx___x___x___ ,
6827  /*E4B5*/ GENx___x___x___ ,
6828  /*E4B6*/ GENx___x___x___ ,
6829  /*E4B7*/ GENx___x___x___ ,
6830  /*E4B8*/ GENx___x___x___ ,
6831  /*E4B9*/ GENx___x___x___ ,
6832  /*E4BA*/ GENx___x___x___ ,
6833  /*E4BB*/ GENx___x___x___ ,
6834  /*E4BC*/ GENx___x___x___ ,
6835  /*E4BD*/ GENx___x___x___ ,
6836  /*E4BE*/ GENx___x___x___ ,
6837  /*E4BF*/ GENx___x___x___ ,
6838  /*E4C0*/ GENx___x___x___ ,
6839  /*E4C1*/ GENx___x___x___ ,
6840  /*E4C2*/ GENx___x___x___ ,
6841  /*E4C3*/ GENx___x___x___ ,
6842  /*E4C4*/ GENx___x___x___ ,
6843  /*E4C5*/ GENx___x___x___ ,
6844  /*E4C6*/ GENx___x___x___ ,
6845  /*E4C7*/ GENx___x___x___ ,
6846  /*E4C8*/ GENx___x___x___ ,
6847  /*E4C9*/ GENx___x___x___ ,
6848  /*E4CA*/ GENx___x___x___ ,
6849  /*E4CB*/ GENx___x___x___ ,
6850  /*E4CC*/ GENx___x___x___ ,
6851  /*E4CD*/ GENx___x___x___ ,
6852  /*E4CE*/ GENx___x___x___ ,
6853  /*E4CF*/ GENx___x___x___ ,
6854  /*E4D0*/ GENx___x___x___ ,
6855  /*E4D1*/ GENx___x___x___ ,
6856  /*E4D2*/ GENx___x___x___ ,
6857  /*E4D3*/ GENx___x___x___ ,
6858  /*E4D4*/ GENx___x___x___ ,
6859  /*E4D5*/ GENx___x___x___ ,
6860  /*E4D6*/ GENx___x___x___ ,
6861  /*E4D7*/ GENx___x___x___ ,
6862  /*E4D8*/ GENx___x___x___ ,
6863  /*E4D9*/ GENx___x___x___ ,
6864  /*E4DA*/ GENx___x___x___ ,
6865  /*E4DB*/ GENx___x___x___ ,
6866  /*E4DC*/ GENx___x___x___ ,
6867  /*E4DD*/ GENx___x___x___ ,
6868  /*E4DE*/ GENx___x___x___ ,
6869  /*E4DF*/ GENx___x___x___ ,
6870  /*E4E0*/ GENx___x___x___ ,
6871  /*E4E1*/ GENx___x___x___ ,
6872  /*E4E2*/ GENx___x___x___ ,
6873  /*E4E3*/ GENx___x___x___ ,
6874  /*E4E4*/ GENx___x___x___ ,
6875  /*E4E5*/ GENx___x___x___ ,
6876  /*E4E6*/ GENx___x___x___ ,
6877  /*E4E7*/ GENx___x___x___ ,
6878  /*E4E8*/ GENx___x___x___ ,
6879  /*E4E9*/ GENx___x___x___ ,
6880  /*E4EA*/ GENx___x___x___ ,
6881  /*E4EB*/ GENx___x___x___ ,
6882  /*E4EC*/ GENx___x___x___ ,
6883  /*E4ED*/ GENx___x___x___ ,
6884  /*E4EE*/ GENx___x___x___ ,
6885  /*E4EF*/ GENx___x___x___ ,
6886  /*E4F0*/ GENx___x___x___ ,
6887  /*E4F1*/ GENx___x___x___ ,
6888  /*E4F2*/ GENx___x___x___ ,
6889  /*E4F3*/ GENx___x___x___ ,
6890  /*E4F4*/ GENx___x___x___ ,
6891  /*E4F5*/ GENx___x___x___ ,
6892  /*E4F6*/ GENx___x___x___ ,
6893  /*E4F7*/ GENx___x___x___ ,
6894  /*E4F8*/ GENx___x___x___ ,
6895  /*E4F9*/ GENx___x___x___ ,
6896  /*E4FA*/ GENx___x___x___ ,
6897  /*E4FB*/ GENx___x___x___ ,
6898  /*E4FC*/ GENx___x___x___ ,
6899  /*E4FD*/ GENx___x___x___ ,
6900  /*E4FE*/ GENx___x___x___ ,
6901  /*E4FF*/ GENx___x___x___  };
6902 
6903 // #endif /*defined (FEATURE_VECTOR_FACILITY)*/
6904 
6905 #endif /*!defined (_GEN_ARCH)*/
6906 
6907 /* end of OPCODE.C */
6908