1 /*
2  * Copyright (c) 2015, Marcos Medeiros
3  * Licensed under BSD 3-clause.
4  */
5 #ifdef TMS34010_DEBUGGER
6 #include <QDebug>
7 #endif
8 #include "tms34010.h"
9 #include "tms34010_defs.h"
10 
11 namespace tms {
12 
13 using namespace ops;
14 
unimplemented(cpu_state * cpu,word opcode)15 static void unimplemented(cpu_state *cpu, word opcode) {
16 #ifdef TMS34010_DEBUGGER
17     qDebug() << "OP_UNIMPL" << QString::number(opcode, 16);
18     qDebug() << QString::number(cpu->pc-16,16) << "::" << QString::fromStdString(dasm(cpu->pc-16,nullptr));
19     cpu->reason = BREAKPOINT_FOUND;
20     cpu->icounter = 0;
21 #endif
22 }
23 
nop(cpu_state * cpu,word opcode)24 static void nop(cpu_state *cpu, word opcode) { CONSUME_CYCLES(1); }
25 
26 opcode_handler opcode_table[0x1000] = {
27 /* 0000 0000 0000 */ &unimplemented,
28 /* 0000 0000 0001 */ &unimplemented,
29 /* 0000 0000 0010 */ &rev_rd,
30 /* 0000 0000 0011 */ &rev_rd,
31 /* 0000 0000 0100 */ &unimplemented,
32 /* 0000 0000 0101 */ &unimplemented,
33 /* 0000 0000 0110 */ &unimplemented,
34 /* 0000 0000 0111 */ &unimplemented,
35 /* 0000 0000 1000 */ &unimplemented,
36 /* 0000 0000 1001 */ &unimplemented,
37 /* 0000 0000 1010 */ &unimplemented,
38 /* 0000 0000 1011 */ &unimplemented,
39 /* 0000 0000 1100 */ &unimplemented,
40 /* 0000 0000 1101 */ &unimplemented,
41 /* 0000 0000 1110 */ &unimplemented,
42 /* 0000 0000 1111 */ &unimplemented,
43 
44 /* 0000 0001 0000 */ &emu,
45 /* 0000 0001 0001 */ &unimplemented,
46 /* 0000 0001 0010 */ &exgpc,
47 /* 0000 0001 0011 */ &exgpc,
48 /* 0000 0001 0100 */ &getpc,
49 /* 0000 0001 0101 */ &getpc,
50 /* 0000 0001 0110 */ &jump_rs,
51 /* 0000 0001 0111 */ &jump_rs,
52 /* 0000 0001 1000 */ &getst,
53 /* 0000 0001 1001 */ &getst,
54 /* 0000 0001 1010 */ &putst,
55 /* 0000 0001 1011 */ &putst,
56 /* 0000 0001 1100 */ &popst,
57 /* 0000 0001 1101 */ &unimplemented,
58 /* 0000 0001 1110 */ &pushst,
59 /* 0000 0001 1111 */ &unimplemented,
60 
61 /* 0000 0010 0000 */ &unimplemented,
62 /* 0000 0010 0001 */ &unimplemented,
63 /* 0000 0010 0010 */ &unimplemented,
64 /* 0000 0010 0011 */ &unimplemented,
65 /* 0000 0010 0100 */ &unimplemented,
66 /* 0000 0010 0101 */ &unimplemented,
67 /* 0000 0010 0110 */ &unimplemented,
68 /* 0000 0010 0111 */ &unimplemented,
69 /* 0000 0010 1000 */ &unimplemented,
70 /* 0000 0010 1001 */ &unimplemented,
71 /* 0000 0010 1010 */ &unimplemented,
72 /* 0000 0010 1011 */ &unimplemented,
73 /* 0000 0010 1100 */ &unimplemented,
74 /* 0000 0010 1101 */ &unimplemented,
75 /* 0000 0010 1110 */ &unimplemented,
76 /* 0000 0010 1111 */ &unimplemented,
77 
78 /* 0000 0011 0000 */ &nop,
79 /* 0000 0011 0001 */ &unimplemented,
80 /* 0000 0011 0010 */ &clrc,
81 /* 0000 0011 0011 */ &unimplemented,
82 /* 0000 0011 0100 */ &movb_saddr_daddr,
83 /* 0000 0011 0101 */ &unimplemented,
84 /* 0000 0011 0110 */ &dint,
85 /* 0000 0011 0111 */ &unimplemented,
86 /* 0000 0011 1000 */ &abs_rd,
87 /* 0000 0011 1001 */ &abs_rd,
88 /* 0000 0011 1010 */ &neg_rd,
89 /* 0000 0011 1011 */ &neg_rd,
90 /* 0000 0011 1100 */ &unimplemented,
91 /* 0000 0011 1101 */ &unimplemented,
92 /* 0000 0011 1110 */ &not_rd,
93 /* 0000 0011 1111 */ &not_rd,
94 
95 /* 0000 0100 0000 */ &unimplemented,
96 /* 0000 0100 0001 */ &unimplemented,
97 /* 0000 0100 0010 */ &unimplemented,
98 /* 0000 0100 0011 */ &unimplemented,
99 /* 0000 0100 0100 */ &unimplemented,
100 /* 0000 0100 0101 */ &unimplemented,
101 /* 0000 0100 0110 */ &unimplemented,
102 /* 0000 0100 0111 */ &unimplemented,
103 /* 0000 0100 1000 */ &unimplemented,
104 /* 0000 0100 1001 */ &unimplemented,
105 /* 0000 0100 1010 */ &unimplemented,
106 /* 0000 0100 1011 */ &unimplemented,
107 /* 0000 0100 1100 */ &unimplemented,
108 /* 0000 0100 1101 */ &unimplemented,
109 /* 0000 0100 1110 */ &unimplemented,
110 /* 0000 0100 1111 */ &unimplemented,
111 
112 /* 0000 0101 0000 */ &sext_rd_0,
113 /* 0000 0101 0001 */ &sext_rd_0,
114 /* 0000 0101 0010 */ &zext_rd_0,
115 /* 0000 0101 0011 */ &zext_rd_0,
116 /* 0000 0101 0100 */ &setf_0,
117 /* 0000 0101 0101 */ &setf_0,
118 /* 0000 0101 0110 */ &setf_0,
119 /* 0000 0101 0111 */ &setf_0,
120 /* 0000 0101 1000 */ &move_rs_daddr_0,
121 /* 0000 0101 1001 */ &move_rs_daddr_0,
122 /* 0000 0101 1010 */ &move_saddr_rd_0,
123 /* 0000 0101 1011 */ &move_saddr_rd_0,
124 /* 0000 0101 1100 */ &move_saddr_daddr_0,
125 /* 0000 0101 1101 */ &unimplemented,
126 /* 0000 0101 1110 */ &movb_rs_daddr,
127 /* 0000 0101 1111 */ &movb_rs_daddr,
128 
129 /* 0000 0110 0000 */ &unimplemented,
130 /* 0000 0110 0001 */ &unimplemented,
131 /* 0000 0110 0010 */ &unimplemented,
132 /* 0000 0110 0011 */ &unimplemented,
133 /* 0000 0110 0100 */ &unimplemented,
134 /* 0000 0110 0101 */ &unimplemented,
135 /* 0000 0110 0110 */ &unimplemented,
136 /* 0000 0110 0111 */ &unimplemented,
137 /* 0000 0110 1000 */ &unimplemented,
138 /* 0000 0110 1001 */ &unimplemented,
139 /* 0000 0110 1010 */ &unimplemented,
140 /* 0000 0110 1011 */ &unimplemented,
141 /* 0000 0110 1100 */ &unimplemented,
142 /* 0000 0110 1101 */ &unimplemented,
143 /* 0000 0110 1110 */ &unimplemented,
144 /* 0000 0110 1111 */ &unimplemented,
145 
146 /* 0000 0111 0000 */ &sext_rd_1,
147 /* 0000 0111 0001 */ &sext_rd_1,
148 /* 0000 0111 0010 */ &zext_rd_1,
149 /* 0000 0111 0011 */ &zext_rd_1,
150 /* 0000 0111 0100 */ &setf_1,
151 /* 0000 0111 0101 */ &setf_1,
152 /* 0000 0111 0110 */ &setf_1,
153 /* 0000 0111 0111 */ &setf_1,
154 /* 0000 0111 1000 */ &move_rs_daddr_1,
155 /* 0000 0111 1001 */ &move_rs_daddr_1,
156 /* 0000 0111 1010 */ &move_saddr_rd_1,
157 /* 0000 0111 1011 */ &move_saddr_rd_1,
158 /* 0000 0111 1100 */ &move_saddr_daddr_1,
159 /* 0000 0111 1101 */ &unimplemented,
160 /* 0000 0111 1110 */ &movb_addr_rd,
161 /* 0000 0111 1111 */ &movb_addr_rd,
162 
163 /* 0000 1000 0000 */ &unimplemented,
164 /* 0000 1000 0001 */ &unimplemented,
165 /* 0000 1000 0010 */ &unimplemented,
166 /* 0000 1000 0011 */ &unimplemented,
167 /* 0000 1000 0100 */ &unimplemented,
168 /* 0000 1000 0101 */ &unimplemented,
169 /* 0000 1000 0110 */ &unimplemented,
170 /* 0000 1000 0111 */ &unimplemented,
171 /* 0000 1000 1000 */ &unimplemented,
172 /* 0000 1000 1001 */ &unimplemented,
173 /* 0000 1000 1010 */ &unimplemented,
174 /* 0000 1000 1011 */ &unimplemented,
175 /* 0000 1000 1100 */ &unimplemented,
176 /* 0000 1000 1101 */ &unimplemented,
177 /* 0000 1000 1110 */ &unimplemented,
178 /* 0000 1000 1111 */ &unimplemented,
179 
180 /* 0000 1001 0000 */ &trap,
181 /* 0000 1001 0001 */ &trap,
182 /* 0000 1001 0010 */ &call_rs,
183 /* 0000 1001 0011 */ &call_rs,
184 /* 0000 1001 0100 */ &reti,
185 /* 0000 1001 0101 */ &unimplemented,
186 /* 0000 1001 0110 */ &rets,
187 /* 0000 1001 0111 */ &rets,
188 /* 0000 1001 1000 */ &mmtm,
189 /* 0000 1001 1001 */ &mmtm,
190 /* 0000 1001 1010 */ &mmfm,
191 /* 0000 1001 1011 */ &mmfm,
192 /* 0000 1001 1100 */ &movi_iw_rd,
193 /* 0000 1001 1101 */ &movi_iw_rd,
194 /* 0000 1001 1110 */ &movi_il_rd,
195 /* 0000 1001 1111 */ &movi_il_rd,
196 
197 /* 0000 1010 0000 */ &unimplemented,
198 /* 0000 1010 0001 */ &unimplemented,
199 /* 0000 1010 0010 */ &unimplemented,
200 /* 0000 1010 0011 */ &unimplemented,
201 /* 0000 1010 0100 */ &unimplemented,
202 /* 0000 1010 0101 */ &unimplemented,
203 /* 0000 1010 0110 */ &unimplemented,
204 /* 0000 1010 0111 */ &unimplemented,
205 /* 0000 1010 1000 */ &unimplemented,
206 /* 0000 1010 1001 */ &unimplemented,
207 /* 0000 1010 1010 */ &unimplemented,
208 /* 0000 1010 1011 */ &unimplemented,
209 /* 0000 1010 1100 */ &unimplemented,
210 /* 0000 1010 1101 */ &unimplemented,
211 /* 0000 1010 1110 */ &unimplemented,
212 /* 0000 1010 1111 */ &unimplemented,
213 
214 /* 0000 1011 0000 */ &addi_iw_rd,
215 /* 0000 1011 0001 */ &addi_iw_rd,
216 /* 0000 1011 0010 */ &addi_il_rd,
217 /* 0000 1011 0011 */ &addi_il_rd,
218 /* 0000 1011 0100 */ &cmpi_iw_rd,
219 /* 0000 1011 0101 */ &cmpi_iw_rd,
220 /* 0000 1011 0110 */ &cmpi_il_rd,
221 /* 0000 1011 0111 */ &cmpi_il_rd,
222 /* 0000 1011 1000 */ &andi_il_rd,
223 /* 0000 1011 1001 */ &andi_il_rd,
224 /* 0000 1011 1010 */ &ori_il_rd,
225 /* 0000 1011 1011 */ &ori_il_rd,
226 /* 0000 1011 1100 */ &xori_il_rd,
227 /* 0000 1011 1101 */ &xori_il_rd,
228 /* 0000 1011 1110 */ &subi_iw_rd,
229 /* 0000 1011 1111 */ &subi_iw_rd,
230 
231 /* 0000 1100 0000 */ &unimplemented,
232 /* 0000 1100 0001 */ &unimplemented,
233 /* 0000 1100 0010 */ &unimplemented,
234 /* 0000 1100 0011 */ &unimplemented,
235 /* 0000 1100 0100 */ &unimplemented,
236 /* 0000 1100 0101 */ &unimplemented,
237 /* 0000 1100 0110 */ &unimplemented,
238 /* 0000 1100 0111 */ &unimplemented,
239 /* 0000 1100 1000 */ &unimplemented,
240 /* 0000 1100 1001 */ &unimplemented,
241 /* 0000 1100 1010 */ &unimplemented,
242 /* 0000 1100 1011 */ &unimplemented,
243 /* 0000 1100 1100 */ &unimplemented,
244 /* 0000 1100 1101 */ &unimplemented,
245 /* 0000 1100 1110 */ &unimplemented,
246 /* 0000 1100 1111 */ &unimplemented,
247 
248 /* 0000 1101 0000 */ &subi_il_rd,
249 /* 0000 1101 0001 */ &subi_il_rd,
250 /* 0000 1101 0010 */ &unimplemented,
251 /* 0000 1101 0011 */ &callr,
252 /* 0000 1101 0100 */ &unimplemented,
253 /* 0000 1101 0101 */ &calla,
254 /* 0000 1101 0110 */ &eint,
255 /* 0000 1101 0111 */ &unimplemented,
256 /* 0000 1101 1000 */ &dsj,
257 /* 0000 1101 1001 */ &dsj,
258 /* 0000 1101 1010 */ &dsjeq,
259 /* 0000 1101 1011 */ &dsjeq,
260 /* 0000 1101 1100 */ &dsjne,
261 /* 0000 1101 1101 */ &dsjne,
262 /* 0000 1101 1110 */ &setc,
263 /* 0000 1101 1111 */ &unimplemented,
264 
265 /* 0000 1110 0000 */ &unimplemented,
266 /* 0000 1110 0001 */ &unimplemented,
267 /* 0000 1110 0010 */ &unimplemented,
268 /* 0000 1110 0011 */ &unimplemented,
269 /* 0000 1110 0100 */ &unimplemented,
270 /* 0000 1110 0101 */ &unimplemented,
271 /* 0000 1110 0110 */ &unimplemented,
272 /* 0000 1110 0111 */ &unimplemented,
273 /* 0000 1110 1000 */ &unimplemented,
274 /* 0000 1110 1001 */ &unimplemented,
275 /* 0000 1110 1010 */ &unimplemented,
276 /* 0000 1110 1011 */ &unimplemented,
277 /* 0000 1110 1100 */ &unimplemented,
278 /* 0000 1110 1101 */ &unimplemented,
279 /* 0000 1110 1110 */ &unimplemented,
280 /* 0000 1110 1111 */ &unimplemented,
281 
282 /* 0000 1111 0000 */ &unimplemented,
283 /* 0000 1111 0001 */ &unimplemented,
284 /* 0000 1111 0010 */ &unimplemented,
285 /* 0000 1111 0011 */ &unimplemented,
286 /* 0000 1111 0100 */ &unimplemented,
287 /* 0000 1111 0101 */ &unimplemented,
288 /* 0000 1111 0110 */ &unimplemented,
289 /* 0000 1111 0111 */ &unimplemented,
290 /* 0000 1111 1000 */ &unimplemented,
291 /* 0000 1111 1001 */ &unimplemented,
292 /* 0000 1111 1010 */ &pixblt_b_xy,
293 /* 0000 1111 1011 */ &unimplemented,
294 /* 0000 1111 1100 */ &fill_l,
295 /* 0000 1111 1101 */ &unimplemented,
296 /* 0000 1111 1110 */ &fill_xy,
297 /* 0000 1111 1111 */ &unimplemented,
298 
299 /* 0001 0000 0000 */ &add_k_rd,
300 /* 0001 0000 0001 */ &add_k_rd,
301 /* 0001 0000 0010 */ &add_k_rd,
302 /* 0001 0000 0011 */ &add_k_rd,
303 /* 0001 0000 0100 */ &add_k_rd,
304 /* 0001 0000 0101 */ &add_k_rd,
305 /* 0001 0000 0110 */ &add_k_rd,
306 /* 0001 0000 0111 */ &add_k_rd,
307 /* 0001 0000 1000 */ &add_k_rd,
308 /* 0001 0000 1001 */ &add_k_rd,
309 /* 0001 0000 1010 */ &add_k_rd,
310 /* 0001 0000 1011 */ &add_k_rd,
311 /* 0001 0000 1100 */ &add_k_rd,
312 /* 0001 0000 1101 */ &add_k_rd,
313 /* 0001 0000 1110 */ &add_k_rd,
314 /* 0001 0000 1111 */ &add_k_rd,
315 
316 /* 0001 0001 0000 */ &add_k_rd,
317 /* 0001 0001 0001 */ &add_k_rd,
318 /* 0001 0001 0010 */ &add_k_rd,
319 /* 0001 0001 0011 */ &add_k_rd,
320 /* 0001 0001 0100 */ &add_k_rd,
321 /* 0001 0001 0101 */ &add_k_rd,
322 /* 0001 0001 0110 */ &add_k_rd,
323 /* 0001 0001 0111 */ &add_k_rd,
324 /* 0001 0001 1000 */ &add_k_rd,
325 /* 0001 0001 1001 */ &add_k_rd,
326 /* 0001 0001 1010 */ &add_k_rd,
327 /* 0001 0001 1011 */ &add_k_rd,
328 /* 0001 0001 1100 */ &add_k_rd,
329 /* 0001 0001 1101 */ &add_k_rd,
330 /* 0001 0001 1110 */ &add_k_rd,
331 /* 0001 0001 1111 */ &add_k_rd,
332 
333 /* 0001 0010 0000 */ &add_k_rd,
334 /* 0001 0010 0001 */ &add_k_rd,
335 /* 0001 0010 0010 */ &add_k_rd,
336 /* 0001 0010 0011 */ &add_k_rd,
337 /* 0001 0010 0100 */ &add_k_rd,
338 /* 0001 0010 0101 */ &add_k_rd,
339 /* 0001 0010 0110 */ &add_k_rd,
340 /* 0001 0010 0111 */ &add_k_rd,
341 /* 0001 0010 1000 */ &add_k_rd,
342 /* 0001 0010 1001 */ &add_k_rd,
343 /* 0001 0010 1010 */ &add_k_rd,
344 /* 0001 0010 1011 */ &add_k_rd,
345 /* 0001 0010 1100 */ &add_k_rd,
346 /* 0001 0010 1101 */ &add_k_rd,
347 /* 0001 0010 1110 */ &add_k_rd,
348 /* 0001 0010 1111 */ &add_k_rd,
349 
350 /* 0001 0011 0000 */ &add_k_rd,
351 /* 0001 0011 0001 */ &add_k_rd,
352 /* 0001 0011 0010 */ &add_k_rd,
353 /* 0001 0011 0011 */ &add_k_rd,
354 /* 0001 0011 0100 */ &add_k_rd,
355 /* 0001 0011 0101 */ &add_k_rd,
356 /* 0001 0011 0110 */ &add_k_rd,
357 /* 0001 0011 0111 */ &add_k_rd,
358 /* 0001 0011 1000 */ &add_k_rd,
359 /* 0001 0011 1001 */ &add_k_rd,
360 /* 0001 0011 1010 */ &add_k_rd,
361 /* 0001 0011 1011 */ &add_k_rd,
362 /* 0001 0011 1100 */ &add_k_rd,
363 /* 0001 0011 1101 */ &add_k_rd,
364 /* 0001 0011 1110 */ &add_k_rd,
365 /* 0001 0011 1111 */ &add_k_rd,
366 
367 /* 0001 0100 0000 */ &sub_k_rd,
368 /* 0001 0100 0001 */ &sub_k_rd,
369 /* 0001 0100 0010 */ &sub_k_rd,
370 /* 0001 0100 0011 */ &sub_k_rd,
371 /* 0001 0100 0100 */ &sub_k_rd,
372 /* 0001 0100 0101 */ &sub_k_rd,
373 /* 0001 0100 0110 */ &sub_k_rd,
374 /* 0001 0100 0111 */ &sub_k_rd,
375 /* 0001 0100 1000 */ &sub_k_rd,
376 /* 0001 0100 1001 */ &sub_k_rd,
377 /* 0001 0100 1010 */ &sub_k_rd,
378 /* 0001 0100 1011 */ &sub_k_rd,
379 /* 0001 0100 1100 */ &sub_k_rd,
380 /* 0001 0100 1101 */ &sub_k_rd,
381 /* 0001 0100 1110 */ &sub_k_rd,
382 /* 0001 0100 1111 */ &sub_k_rd,
383 
384 /* 0001 0101 0000 */ &sub_k_rd,
385 /* 0001 0101 0001 */ &sub_k_rd,
386 /* 0001 0101 0010 */ &sub_k_rd,
387 /* 0001 0101 0011 */ &sub_k_rd,
388 /* 0001 0101 0100 */ &sub_k_rd,
389 /* 0001 0101 0101 */ &sub_k_rd,
390 /* 0001 0101 0110 */ &sub_k_rd,
391 /* 0001 0101 0111 */ &sub_k_rd,
392 /* 0001 0101 1000 */ &sub_k_rd,
393 /* 0001 0101 1001 */ &sub_k_rd,
394 /* 0001 0101 1010 */ &sub_k_rd,
395 /* 0001 0101 1011 */ &sub_k_rd,
396 /* 0001 0101 1100 */ &sub_k_rd,
397 /* 0001 0101 1101 */ &sub_k_rd,
398 /* 0001 0101 1110 */ &sub_k_rd,
399 /* 0001 0101 1111 */ &sub_k_rd,
400 
401 /* 0001 0110 0000 */ &sub_k_rd,
402 /* 0001 0110 0001 */ &sub_k_rd,
403 /* 0001 0110 0010 */ &sub_k_rd,
404 /* 0001 0110 0011 */ &sub_k_rd,
405 /* 0001 0110 0100 */ &sub_k_rd,
406 /* 0001 0110 0101 */ &sub_k_rd,
407 /* 0001 0110 0110 */ &sub_k_rd,
408 /* 0001 0110 0111 */ &sub_k_rd,
409 /* 0001 0110 1000 */ &sub_k_rd,
410 /* 0001 0110 1001 */ &sub_k_rd,
411 /* 0001 0110 1010 */ &sub_k_rd,
412 /* 0001 0110 1011 */ &sub_k_rd,
413 /* 0001 0110 1100 */ &sub_k_rd,
414 /* 0001 0110 1101 */ &sub_k_rd,
415 /* 0001 0110 1110 */ &sub_k_rd,
416 /* 0001 0110 1111 */ &sub_k_rd,
417 
418 /* 0001 0111 0000 */ &sub_k_rd,
419 /* 0001 0111 0001 */ &sub_k_rd,
420 /* 0001 0111 0010 */ &sub_k_rd,
421 /* 0001 0111 0011 */ &sub_k_rd,
422 /* 0001 0111 0100 */ &sub_k_rd,
423 /* 0001 0111 0101 */ &sub_k_rd,
424 /* 0001 0111 0110 */ &sub_k_rd,
425 /* 0001 0111 0111 */ &sub_k_rd,
426 /* 0001 0111 1000 */ &sub_k_rd,
427 /* 0001 0111 1001 */ &sub_k_rd,
428 /* 0001 0111 1010 */ &sub_k_rd,
429 /* 0001 0111 1011 */ &sub_k_rd,
430 /* 0001 0111 1100 */ &sub_k_rd,
431 /* 0001 0111 1101 */ &sub_k_rd,
432 /* 0001 0111 1110 */ &sub_k_rd,
433 /* 0001 0111 1111 */ &sub_k_rd,
434 
435 /* 0001 1000 0000 */ &movk_k_rd,
436 /* 0001 1000 0001 */ &movk_k_rd,
437 /* 0001 1000 0010 */ &movk_k_rd,
438 /* 0001 1000 0011 */ &movk_k_rd,
439 /* 0001 1000 0100 */ &movk_k_rd,
440 /* 0001 1000 0101 */ &movk_k_rd,
441 /* 0001 1000 0110 */ &movk_k_rd,
442 /* 0001 1000 0111 */ &movk_k_rd,
443 /* 0001 1000 1000 */ &movk_k_rd,
444 /* 0001 1000 1001 */ &movk_k_rd,
445 /* 0001 1000 1010 */ &movk_k_rd,
446 /* 0001 1000 1011 */ &movk_k_rd,
447 /* 0001 1000 1100 */ &movk_k_rd,
448 /* 0001 1000 1101 */ &movk_k_rd,
449 /* 0001 1000 1110 */ &movk_k_rd,
450 /* 0001 1000 1111 */ &movk_k_rd,
451 
452 /* 0001 1001 0000 */ &movk_k_rd,
453 /* 0001 1001 0001 */ &movk_k_rd,
454 /* 0001 1001 0010 */ &movk_k_rd,
455 /* 0001 1001 0011 */ &movk_k_rd,
456 /* 0001 1001 0100 */ &movk_k_rd,
457 /* 0001 1001 0101 */ &movk_k_rd,
458 /* 0001 1001 0110 */ &movk_k_rd,
459 /* 0001 1001 0111 */ &movk_k_rd,
460 /* 0001 1001 1000 */ &movk_k_rd,
461 /* 0001 1001 1001 */ &movk_k_rd,
462 /* 0001 1001 1010 */ &movk_k_rd,
463 /* 0001 1001 1011 */ &movk_k_rd,
464 /* 0001 1001 1100 */ &movk_k_rd,
465 /* 0001 1001 1101 */ &movk_k_rd,
466 /* 0001 1001 1110 */ &movk_k_rd,
467 /* 0001 1001 1111 */ &movk_k_rd,
468 
469 /* 0001 1010 0000 */ &movk_k_rd,
470 /* 0001 1010 0001 */ &movk_k_rd,
471 /* 0001 1010 0010 */ &movk_k_rd,
472 /* 0001 1010 0011 */ &movk_k_rd,
473 /* 0001 1010 0100 */ &movk_k_rd,
474 /* 0001 1010 0101 */ &movk_k_rd,
475 /* 0001 1010 0110 */ &movk_k_rd,
476 /* 0001 1010 0111 */ &movk_k_rd,
477 /* 0001 1010 1000 */ &movk_k_rd,
478 /* 0001 1010 1001 */ &movk_k_rd,
479 /* 0001 1010 1010 */ &movk_k_rd,
480 /* 0001 1010 1011 */ &movk_k_rd,
481 /* 0001 1010 1100 */ &movk_k_rd,
482 /* 0001 1010 1101 */ &movk_k_rd,
483 /* 0001 1010 1110 */ &movk_k_rd,
484 /* 0001 1010 1111 */ &movk_k_rd,
485 
486 /* 0001 1011 0000 */ &movk_k_rd,
487 /* 0001 1011 0001 */ &movk_k_rd,
488 /* 0001 1011 0010 */ &movk_k_rd,
489 /* 0001 1011 0011 */ &movk_k_rd,
490 /* 0001 1011 0100 */ &movk_k_rd,
491 /* 0001 1011 0101 */ &movk_k_rd,
492 /* 0001 1011 0110 */ &movk_k_rd,
493 /* 0001 1011 0111 */ &movk_k_rd,
494 /* 0001 1011 1000 */ &movk_k_rd,
495 /* 0001 1011 1001 */ &movk_k_rd,
496 /* 0001 1011 1010 */ &movk_k_rd,
497 /* 0001 1011 1011 */ &movk_k_rd,
498 /* 0001 1011 1100 */ &movk_k_rd,
499 /* 0001 1011 1101 */ &movk_k_rd,
500 /* 0001 1011 1110 */ &movk_k_rd,
501 /* 0001 1011 1111 */ &movk_k_rd,
502 
503 /* 0001 1100 0000 */ &btst_k_rd,
504 /* 0001 1100 0001 */ &btst_k_rd,
505 /* 0001 1100 0010 */ &btst_k_rd,
506 /* 0001 1100 0011 */ &btst_k_rd,
507 /* 0001 1100 0100 */ &btst_k_rd,
508 /* 0001 1100 0101 */ &btst_k_rd,
509 /* 0001 1100 0110 */ &btst_k_rd,
510 /* 0001 1100 0111 */ &btst_k_rd,
511 /* 0001 1100 1000 */ &btst_k_rd,
512 /* 0001 1100 1001 */ &btst_k_rd,
513 /* 0001 1100 1010 */ &btst_k_rd,
514 /* 0001 1100 1011 */ &btst_k_rd,
515 /* 0001 1100 1100 */ &btst_k_rd,
516 /* 0001 1100 1101 */ &btst_k_rd,
517 /* 0001 1100 1110 */ &btst_k_rd,
518 /* 0001 1100 1111 */ &btst_k_rd,
519 
520 /* 0001 1101 0000 */ &btst_k_rd,
521 /* 0001 1101 0001 */ &btst_k_rd,
522 /* 0001 1101 0010 */ &btst_k_rd,
523 /* 0001 1101 0011 */ &btst_k_rd,
524 /* 0001 1101 0100 */ &btst_k_rd,
525 /* 0001 1101 0101 */ &btst_k_rd,
526 /* 0001 1101 0110 */ &btst_k_rd,
527 /* 0001 1101 0111 */ &btst_k_rd,
528 /* 0001 1101 1000 */ &btst_k_rd,
529 /* 0001 1101 1001 */ &btst_k_rd,
530 /* 0001 1101 1010 */ &btst_k_rd,
531 /* 0001 1101 1011 */ &btst_k_rd,
532 /* 0001 1101 1100 */ &btst_k_rd,
533 /* 0001 1101 1101 */ &btst_k_rd,
534 /* 0001 1101 1110 */ &btst_k_rd,
535 /* 0001 1101 1111 */ &btst_k_rd,
536 
537 /* 0001 1110 0000 */ &btst_k_rd,
538 /* 0001 1110 0001 */ &btst_k_rd,
539 /* 0001 1110 0010 */ &btst_k_rd,
540 /* 0001 1110 0011 */ &btst_k_rd,
541 /* 0001 1110 0100 */ &btst_k_rd,
542 /* 0001 1110 0101 */ &btst_k_rd,
543 /* 0001 1110 0110 */ &btst_k_rd,
544 /* 0001 1110 0111 */ &btst_k_rd,
545 /* 0001 1110 1000 */ &btst_k_rd,
546 /* 0001 1110 1001 */ &btst_k_rd,
547 /* 0001 1110 1010 */ &btst_k_rd,
548 /* 0001 1110 1011 */ &btst_k_rd,
549 /* 0001 1110 1100 */ &btst_k_rd,
550 /* 0001 1110 1101 */ &btst_k_rd,
551 /* 0001 1110 1110 */ &btst_k_rd,
552 /* 0001 1110 1111 */ &btst_k_rd,
553 
554 /* 0001 1111 0000 */ &btst_k_rd,
555 /* 0001 1111 0001 */ &btst_k_rd,
556 /* 0001 1111 0010 */ &btst_k_rd,
557 /* 0001 1111 0011 */ &btst_k_rd,
558 /* 0001 1111 0100 */ &btst_k_rd,
559 /* 0001 1111 0101 */ &btst_k_rd,
560 /* 0001 1111 0110 */ &btst_k_rd,
561 /* 0001 1111 0111 */ &btst_k_rd,
562 /* 0001 1111 1000 */ &btst_k_rd,
563 /* 0001 1111 1001 */ &btst_k_rd,
564 /* 0001 1111 1010 */ &btst_k_rd,
565 /* 0001 1111 1011 */ &btst_k_rd,
566 /* 0001 1111 1100 */ &btst_k_rd,
567 /* 0001 1111 1101 */ &btst_k_rd,
568 /* 0001 1111 1110 */ &btst_k_rd,
569 /* 0001 1111 1111 */ &btst_k_rd,
570 
571 /* 0010 0000 0000 */ &sla_k_rd,
572 /* 0010 0000 0001 */ &sla_k_rd,
573 /* 0010 0000 0010 */ &sla_k_rd,
574 /* 0010 0000 0011 */ &sla_k_rd,
575 /* 0010 0000 0100 */ &sla_k_rd,
576 /* 0010 0000 0101 */ &sla_k_rd,
577 /* 0010 0000 0110 */ &sla_k_rd,
578 /* 0010 0000 0111 */ &sla_k_rd,
579 /* 0010 0000 1000 */ &sla_k_rd,
580 /* 0010 0000 1001 */ &sla_k_rd,
581 /* 0010 0000 1010 */ &sla_k_rd,
582 /* 0010 0000 1011 */ &sla_k_rd,
583 /* 0010 0000 1100 */ &sla_k_rd,
584 /* 0010 0000 1101 */ &sla_k_rd,
585 /* 0010 0000 1110 */ &sla_k_rd,
586 /* 0010 0000 1111 */ &sla_k_rd,
587 
588 /* 0010 0001 0000 */ &sla_k_rd,
589 /* 0010 0001 0001 */ &sla_k_rd,
590 /* 0010 0001 0010 */ &sla_k_rd,
591 /* 0010 0001 0011 */ &sla_k_rd,
592 /* 0010 0001 0100 */ &sla_k_rd,
593 /* 0010 0001 0101 */ &sla_k_rd,
594 /* 0010 0001 0110 */ &sla_k_rd,
595 /* 0010 0001 0111 */ &sla_k_rd,
596 /* 0010 0001 1000 */ &sla_k_rd,
597 /* 0010 0001 1001 */ &sla_k_rd,
598 /* 0010 0001 1010 */ &sla_k_rd,
599 /* 0010 0001 1011 */ &sla_k_rd,
600 /* 0010 0001 1100 */ &sla_k_rd,
601 /* 0010 0001 1101 */ &sla_k_rd,
602 /* 0010 0001 1110 */ &sla_k_rd,
603 /* 0010 0001 1111 */ &sla_k_rd,
604 
605 /* 0010 0010 0000 */ &sla_k_rd,
606 /* 0010 0010 0001 */ &sla_k_rd,
607 /* 0010 0010 0010 */ &sla_k_rd,
608 /* 0010 0010 0011 */ &sla_k_rd,
609 /* 0010 0010 0100 */ &sla_k_rd,
610 /* 0010 0010 0101 */ &sla_k_rd,
611 /* 0010 0010 0110 */ &sla_k_rd,
612 /* 0010 0010 0111 */ &sla_k_rd,
613 /* 0010 0010 1000 */ &sla_k_rd,
614 /* 0010 0010 1001 */ &sla_k_rd,
615 /* 0010 0010 1010 */ &sla_k_rd,
616 /* 0010 0010 1011 */ &sla_k_rd,
617 /* 0010 0010 1100 */ &sla_k_rd,
618 /* 0010 0010 1101 */ &sla_k_rd,
619 /* 0010 0010 1110 */ &sla_k_rd,
620 /* 0010 0010 1111 */ &sla_k_rd,
621 
622 /* 0010 0011 0000 */ &sla_k_rd,
623 /* 0010 0011 0001 */ &sla_k_rd,
624 /* 0010 0011 0010 */ &sla_k_rd,
625 /* 0010 0011 0011 */ &sla_k_rd,
626 /* 0010 0011 0100 */ &sla_k_rd,
627 /* 0010 0011 0101 */ &sla_k_rd,
628 /* 0010 0011 0110 */ &sla_k_rd,
629 /* 0010 0011 0111 */ &sla_k_rd,
630 /* 0010 0011 1000 */ &sla_k_rd,
631 /* 0010 0011 1001 */ &sla_k_rd,
632 /* 0010 0011 1010 */ &sla_k_rd,
633 /* 0010 0011 1011 */ &sla_k_rd,
634 /* 0010 0011 1100 */ &sla_k_rd,
635 /* 0010 0011 1101 */ &sla_k_rd,
636 /* 0010 0011 1110 */ &sla_k_rd,
637 /* 0010 0011 1111 */ &sla_k_rd,
638 
639 /* 0010 0100 0000 */ &sll_k_rd,
640 /* 0010 0100 0001 */ &sll_k_rd,
641 /* 0010 0100 0010 */ &sll_k_rd,
642 /* 0010 0100 0011 */ &sll_k_rd,
643 /* 0010 0100 0100 */ &sll_k_rd,
644 /* 0010 0100 0101 */ &sll_k_rd,
645 /* 0010 0100 0110 */ &sll_k_rd,
646 /* 0010 0100 0111 */ &sll_k_rd,
647 /* 0010 0100 1000 */ &sll_k_rd,
648 /* 0010 0100 1001 */ &sll_k_rd,
649 /* 0010 0100 1010 */ &sll_k_rd,
650 /* 0010 0100 1011 */ &sll_k_rd,
651 /* 0010 0100 1100 */ &sll_k_rd,
652 /* 0010 0100 1101 */ &sll_k_rd,
653 /* 0010 0100 1110 */ &sll_k_rd,
654 /* 0010 0100 1111 */ &sll_k_rd,
655 
656 /* 0010 0101 0000 */ &sll_k_rd,
657 /* 0010 0101 0001 */ &sll_k_rd,
658 /* 0010 0101 0010 */ &sll_k_rd,
659 /* 0010 0101 0011 */ &sll_k_rd,
660 /* 0010 0101 0100 */ &sll_k_rd,
661 /* 0010 0101 0101 */ &sll_k_rd,
662 /* 0010 0101 0110 */ &sll_k_rd,
663 /* 0010 0101 0111 */ &sll_k_rd,
664 /* 0010 0101 1000 */ &sll_k_rd,
665 /* 0010 0101 1001 */ &sll_k_rd,
666 /* 0010 0101 1010 */ &sll_k_rd,
667 /* 0010 0101 1011 */ &sll_k_rd,
668 /* 0010 0101 1100 */ &sll_k_rd,
669 /* 0010 0101 1101 */ &sll_k_rd,
670 /* 0010 0101 1110 */ &sll_k_rd,
671 /* 0010 0101 1111 */ &sll_k_rd,
672 
673 /* 0010 0110 0000 */ &sll_k_rd,
674 /* 0010 0110 0001 */ &sll_k_rd,
675 /* 0010 0110 0010 */ &sll_k_rd,
676 /* 0010 0110 0011 */ &sll_k_rd,
677 /* 0010 0110 0100 */ &sll_k_rd,
678 /* 0010 0110 0101 */ &sll_k_rd,
679 /* 0010 0110 0110 */ &sll_k_rd,
680 /* 0010 0110 0111 */ &sll_k_rd,
681 /* 0010 0110 1000 */ &sll_k_rd,
682 /* 0010 0110 1001 */ &sll_k_rd,
683 /* 0010 0110 1010 */ &sll_k_rd,
684 /* 0010 0110 1011 */ &sll_k_rd,
685 /* 0010 0110 1100 */ &sll_k_rd,
686 /* 0010 0110 1101 */ &sll_k_rd,
687 /* 0010 0110 1110 */ &sll_k_rd,
688 /* 0010 0110 1111 */ &sll_k_rd,
689 
690 /* 0010 0111 0000 */ &sll_k_rd,
691 /* 0010 0111 0001 */ &sll_k_rd,
692 /* 0010 0111 0010 */ &sll_k_rd,
693 /* 0010 0111 0011 */ &sll_k_rd,
694 /* 0010 0111 0100 */ &sll_k_rd,
695 /* 0010 0111 0101 */ &sll_k_rd,
696 /* 0010 0111 0110 */ &sll_k_rd,
697 /* 0010 0111 0111 */ &sll_k_rd,
698 /* 0010 0111 1000 */ &sll_k_rd,
699 /* 0010 0111 1001 */ &sll_k_rd,
700 /* 0010 0111 1010 */ &sll_k_rd,
701 /* 0010 0111 1011 */ &sll_k_rd,
702 /* 0010 0111 1100 */ &sll_k_rd,
703 /* 0010 0111 1101 */ &sll_k_rd,
704 /* 0010 0111 1110 */ &sll_k_rd,
705 /* 0010 0111 1111 */ &sll_k_rd,
706 
707 /* 0010 1000 0000 */ &sra_k_rd,
708 /* 0010 1000 0001 */ &sra_k_rd,
709 /* 0010 1000 0010 */ &sra_k_rd,
710 /* 0010 1000 0011 */ &sra_k_rd,
711 /* 0010 1000 0100 */ &sra_k_rd,
712 /* 0010 1000 0101 */ &sra_k_rd,
713 /* 0010 1000 0110 */ &sra_k_rd,
714 /* 0010 1000 0111 */ &sra_k_rd,
715 /* 0010 1000 1000 */ &sra_k_rd,
716 /* 0010 1000 1001 */ &sra_k_rd,
717 /* 0010 1000 1010 */ &sra_k_rd,
718 /* 0010 1000 1011 */ &sra_k_rd,
719 /* 0010 1000 1100 */ &sra_k_rd,
720 /* 0010 1000 1101 */ &sra_k_rd,
721 /* 0010 1000 1110 */ &sra_k_rd,
722 /* 0010 1000 1111 */ &sra_k_rd,
723 
724 /* 0010 1001 0000 */ &sra_k_rd,
725 /* 0010 1001 0001 */ &sra_k_rd,
726 /* 0010 1001 0010 */ &sra_k_rd,
727 /* 0010 1001 0011 */ &sra_k_rd,
728 /* 0010 1001 0100 */ &sra_k_rd,
729 /* 0010 1001 0101 */ &sra_k_rd,
730 /* 0010 1001 0110 */ &sra_k_rd,
731 /* 0010 1001 0111 */ &sra_k_rd,
732 /* 0010 1001 1000 */ &sra_k_rd,
733 /* 0010 1001 1001 */ &sra_k_rd,
734 /* 0010 1001 1010 */ &sra_k_rd,
735 /* 0010 1001 1011 */ &sra_k_rd,
736 /* 0010 1001 1100 */ &sra_k_rd,
737 /* 0010 1001 1101 */ &sra_k_rd,
738 /* 0010 1001 1110 */ &sra_k_rd,
739 /* 0010 1001 1111 */ &sra_k_rd,
740 
741 /* 0010 1010 0000 */ &sra_k_rd,
742 /* 0010 1010 0001 */ &sra_k_rd,
743 /* 0010 1010 0010 */ &sra_k_rd,
744 /* 0010 1010 0011 */ &sra_k_rd,
745 /* 0010 1010 0100 */ &sra_k_rd,
746 /* 0010 1010 0101 */ &sra_k_rd,
747 /* 0010 1010 0110 */ &sra_k_rd,
748 /* 0010 1010 0111 */ &sra_k_rd,
749 /* 0010 1010 1000 */ &sra_k_rd,
750 /* 0010 1010 1001 */ &sra_k_rd,
751 /* 0010 1010 1010 */ &sra_k_rd,
752 /* 0010 1010 1011 */ &sra_k_rd,
753 /* 0010 1010 1100 */ &sra_k_rd,
754 /* 0010 1010 1101 */ &sra_k_rd,
755 /* 0010 1010 1110 */ &sra_k_rd,
756 /* 0010 1010 1111 */ &sra_k_rd,
757 
758 /* 0010 1011 0000 */ &sra_k_rd,
759 /* 0010 1011 0001 */ &sra_k_rd,
760 /* 0010 1011 0010 */ &sra_k_rd,
761 /* 0010 1011 0011 */ &sra_k_rd,
762 /* 0010 1011 0100 */ &sra_k_rd,
763 /* 0010 1011 0101 */ &sra_k_rd,
764 /* 0010 1011 0110 */ &sra_k_rd,
765 /* 0010 1011 0111 */ &sra_k_rd,
766 /* 0010 1011 1000 */ &sra_k_rd,
767 /* 0010 1011 1001 */ &sra_k_rd,
768 /* 0010 1011 1010 */ &sra_k_rd,
769 /* 0010 1011 1011 */ &sra_k_rd,
770 /* 0010 1011 1100 */ &sra_k_rd,
771 /* 0010 1011 1101 */ &sra_k_rd,
772 /* 0010 1011 1110 */ &sra_k_rd,
773 /* 0010 1011 1111 */ &sra_k_rd,
774 
775 /* 0010 1100 0000 */ &srl_k_rd,
776 /* 0010 1100 0001 */ &srl_k_rd,
777 /* 0010 1100 0010 */ &srl_k_rd,
778 /* 0010 1100 0011 */ &srl_k_rd,
779 /* 0010 1100 0100 */ &srl_k_rd,
780 /* 0010 1100 0101 */ &srl_k_rd,
781 /* 0010 1100 0110 */ &srl_k_rd,
782 /* 0010 1100 0111 */ &srl_k_rd,
783 /* 0010 1100 1000 */ &srl_k_rd,
784 /* 0010 1100 1001 */ &srl_k_rd,
785 /* 0010 1100 1010 */ &srl_k_rd,
786 /* 0010 1100 1011 */ &srl_k_rd,
787 /* 0010 1100 1100 */ &srl_k_rd,
788 /* 0010 1100 1101 */ &srl_k_rd,
789 /* 0010 1100 1110 */ &srl_k_rd,
790 /* 0010 1100 1111 */ &srl_k_rd,
791 
792 /* 0010 1101 0000 */ &srl_k_rd,
793 /* 0010 1101 0001 */ &srl_k_rd,
794 /* 0010 1101 0010 */ &srl_k_rd,
795 /* 0010 1101 0011 */ &srl_k_rd,
796 /* 0010 1101 0100 */ &srl_k_rd,
797 /* 0010 1101 0101 */ &srl_k_rd,
798 /* 0010 1101 0110 */ &srl_k_rd,
799 /* 0010 1101 0111 */ &srl_k_rd,
800 /* 0010 1101 1000 */ &srl_k_rd,
801 /* 0010 1101 1001 */ &srl_k_rd,
802 /* 0010 1101 1010 */ &srl_k_rd,
803 /* 0010 1101 1011 */ &srl_k_rd,
804 /* 0010 1101 1100 */ &srl_k_rd,
805 /* 0010 1101 1101 */ &srl_k_rd,
806 /* 0010 1101 1110 */ &srl_k_rd,
807 /* 0010 1101 1111 */ &srl_k_rd,
808 
809 /* 0010 1110 0000 */ &srl_k_rd,
810 /* 0010 1110 0001 */ &srl_k_rd,
811 /* 0010 1110 0010 */ &srl_k_rd,
812 /* 0010 1110 0011 */ &srl_k_rd,
813 /* 0010 1110 0100 */ &srl_k_rd,
814 /* 0010 1110 0101 */ &srl_k_rd,
815 /* 0010 1110 0110 */ &srl_k_rd,
816 /* 0010 1110 0111 */ &srl_k_rd,
817 /* 0010 1110 1000 */ &srl_k_rd,
818 /* 0010 1110 1001 */ &srl_k_rd,
819 /* 0010 1110 1010 */ &srl_k_rd,
820 /* 0010 1110 1011 */ &srl_k_rd,
821 /* 0010 1110 1100 */ &srl_k_rd,
822 /* 0010 1110 1101 */ &srl_k_rd,
823 /* 0010 1110 1110 */ &srl_k_rd,
824 /* 0010 1110 1111 */ &srl_k_rd,
825 
826 /* 0010 1111 0000 */ &srl_k_rd,
827 /* 0010 1111 0001 */ &srl_k_rd,
828 /* 0010 1111 0010 */ &srl_k_rd,
829 /* 0010 1111 0011 */ &srl_k_rd,
830 /* 0010 1111 0100 */ &srl_k_rd,
831 /* 0010 1111 0101 */ &srl_k_rd,
832 /* 0010 1111 0110 */ &srl_k_rd,
833 /* 0010 1111 0111 */ &srl_k_rd,
834 /* 0010 1111 1000 */ &srl_k_rd,
835 /* 0010 1111 1001 */ &srl_k_rd,
836 /* 0010 1111 1010 */ &srl_k_rd,
837 /* 0010 1111 1011 */ &srl_k_rd,
838 /* 0010 1111 1100 */ &srl_k_rd,
839 /* 0010 1111 1101 */ &srl_k_rd,
840 /* 0010 1111 1110 */ &srl_k_rd,
841 /* 0010 1111 1111 */ &srl_k_rd,
842 
843 /* 0011 0000 0000 */ &rl_k_rd,
844 /* 0011 0000 0001 */ &rl_k_rd,
845 /* 0011 0000 0010 */ &rl_k_rd,
846 /* 0011 0000 0011 */ &rl_k_rd,
847 /* 0011 0000 0100 */ &rl_k_rd,
848 /* 0011 0000 0101 */ &rl_k_rd,
849 /* 0011 0000 0110 */ &rl_k_rd,
850 /* 0011 0000 0111 */ &rl_k_rd,
851 /* 0011 0000 1000 */ &rl_k_rd,
852 /* 0011 0000 1001 */ &rl_k_rd,
853 /* 0011 0000 1010 */ &rl_k_rd,
854 /* 0011 0000 1011 */ &rl_k_rd,
855 /* 0011 0000 1100 */ &rl_k_rd,
856 /* 0011 0000 1101 */ &rl_k_rd,
857 /* 0011 0000 1110 */ &rl_k_rd,
858 /* 0011 0000 1111 */ &rl_k_rd,
859 
860 /* 0011 0001 0000 */ &rl_k_rd,
861 /* 0011 0001 0001 */ &rl_k_rd,
862 /* 0011 0001 0010 */ &rl_k_rd,
863 /* 0011 0001 0011 */ &rl_k_rd,
864 /* 0011 0001 0100 */ &rl_k_rd,
865 /* 0011 0001 0101 */ &rl_k_rd,
866 /* 0011 0001 0110 */ &rl_k_rd,
867 /* 0011 0001 0111 */ &rl_k_rd,
868 /* 0011 0001 1000 */ &rl_k_rd,
869 /* 0011 0001 1001 */ &rl_k_rd,
870 /* 0011 0001 1010 */ &rl_k_rd,
871 /* 0011 0001 1011 */ &rl_k_rd,
872 /* 0011 0001 1100 */ &rl_k_rd,
873 /* 0011 0001 1101 */ &rl_k_rd,
874 /* 0011 0001 1110 */ &rl_k_rd,
875 /* 0011 0001 1111 */ &rl_k_rd,
876 
877 /* 0011 0010 0000 */ &rl_k_rd,
878 /* 0011 0010 0001 */ &rl_k_rd,
879 /* 0011 0010 0010 */ &rl_k_rd,
880 /* 0011 0010 0011 */ &rl_k_rd,
881 /* 0011 0010 0100 */ &rl_k_rd,
882 /* 0011 0010 0101 */ &rl_k_rd,
883 /* 0011 0010 0110 */ &rl_k_rd,
884 /* 0011 0010 0111 */ &rl_k_rd,
885 /* 0011 0010 1000 */ &rl_k_rd,
886 /* 0011 0010 1001 */ &rl_k_rd,
887 /* 0011 0010 1010 */ &rl_k_rd,
888 /* 0011 0010 1011 */ &rl_k_rd,
889 /* 0011 0010 1100 */ &rl_k_rd,
890 /* 0011 0010 1101 */ &rl_k_rd,
891 /* 0011 0010 1110 */ &rl_k_rd,
892 /* 0011 0010 1111 */ &rl_k_rd,
893 
894 /* 0011 0011 0000 */ &rl_k_rd,
895 /* 0011 0011 0001 */ &rl_k_rd,
896 /* 0011 0011 0010 */ &rl_k_rd,
897 /* 0011 0011 0011 */ &rl_k_rd,
898 /* 0011 0011 0100 */ &rl_k_rd,
899 /* 0011 0011 0101 */ &rl_k_rd,
900 /* 0011 0011 0110 */ &rl_k_rd,
901 /* 0011 0011 0111 */ &rl_k_rd,
902 /* 0011 0011 1000 */ &rl_k_rd,
903 /* 0011 0011 1001 */ &rl_k_rd,
904 /* 0011 0011 1010 */ &rl_k_rd,
905 /* 0011 0011 1011 */ &rl_k_rd,
906 /* 0011 0011 1100 */ &rl_k_rd,
907 /* 0011 0011 1101 */ &rl_k_rd,
908 /* 0011 0011 1110 */ &rl_k_rd,
909 /* 0011 0011 1111 */ &rl_k_rd,
910 
911 /* 0011 0100 0000 */ &unimplemented,
912 /* 0011 0100 0001 */ &unimplemented,
913 /* 0011 0100 0010 */ &unimplemented,
914 /* 0011 0100 0011 */ &unimplemented,
915 /* 0011 0100 0100 */ &unimplemented,
916 /* 0011 0100 0101 */ &unimplemented,
917 /* 0011 0100 0110 */ &unimplemented,
918 /* 0011 0100 0111 */ &unimplemented,
919 /* 0011 0100 1000 */ &unimplemented,
920 /* 0011 0100 1001 */ &unimplemented,
921 /* 0011 0100 1010 */ &unimplemented,
922 /* 0011 0100 1011 */ &unimplemented,
923 /* 0011 0100 1100 */ &unimplemented,
924 /* 0011 0100 1101 */ &unimplemented,
925 /* 0011 0100 1110 */ &unimplemented,
926 /* 0011 0100 1111 */ &unimplemented,
927 
928 /* 0011 0101 0000 */ &unimplemented,
929 /* 0011 0101 0001 */ &unimplemented,
930 /* 0011 0101 0010 */ &unimplemented,
931 /* 0011 0101 0011 */ &unimplemented,
932 /* 0011 0101 0100 */ &unimplemented,
933 /* 0011 0101 0101 */ &unimplemented,
934 /* 0011 0101 0110 */ &unimplemented,
935 /* 0011 0101 0111 */ &unimplemented,
936 /* 0011 0101 1000 */ &unimplemented,
937 /* 0011 0101 1001 */ &unimplemented,
938 /* 0011 0101 1010 */ &unimplemented,
939 /* 0011 0101 1011 */ &unimplemented,
940 /* 0011 0101 1100 */ &unimplemented,
941 /* 0011 0101 1101 */ &unimplemented,
942 /* 0011 0101 1110 */ &unimplemented,
943 /* 0011 0101 1111 */ &unimplemented,
944 
945 /* 0011 0110 0000 */ &unimplemented,
946 /* 0011 0110 0001 */ &unimplemented,
947 /* 0011 0110 0010 */ &unimplemented,
948 /* 0011 0110 0011 */ &unimplemented,
949 /* 0011 0110 0100 */ &unimplemented,
950 /* 0011 0110 0101 */ &unimplemented,
951 /* 0011 0110 0110 */ &unimplemented,
952 /* 0011 0110 0111 */ &unimplemented,
953 /* 0011 0110 1000 */ &unimplemented,
954 /* 0011 0110 1001 */ &unimplemented,
955 /* 0011 0110 1010 */ &unimplemented,
956 /* 0011 0110 1011 */ &unimplemented,
957 /* 0011 0110 1100 */ &unimplemented,
958 /* 0011 0110 1101 */ &unimplemented,
959 /* 0011 0110 1110 */ &unimplemented,
960 /* 0011 0110 1111 */ &unimplemented,
961 
962 /* 0011 0111 0000 */ &unimplemented,
963 /* 0011 0111 0001 */ &unimplemented,
964 /* 0011 0111 0010 */ &unimplemented,
965 /* 0011 0111 0011 */ &unimplemented,
966 /* 0011 0111 0100 */ &unimplemented,
967 /* 0011 0111 0101 */ &unimplemented,
968 /* 0011 0111 0110 */ &unimplemented,
969 /* 0011 0111 0111 */ &unimplemented,
970 /* 0011 0111 1000 */ &unimplemented,
971 /* 0011 0111 1001 */ &unimplemented,
972 /* 0011 0111 1010 */ &unimplemented,
973 /* 0011 0111 1011 */ &unimplemented,
974 /* 0011 0111 1100 */ &unimplemented,
975 /* 0011 0111 1101 */ &unimplemented,
976 /* 0011 0111 1110 */ &unimplemented,
977 /* 0011 0111 1111 */ &unimplemented,
978 
979 /* 0011 1000 0000 */ &dsjs,
980 /* 0011 1000 0001 */ &dsjs,
981 /* 0011 1000 0010 */ &dsjs,
982 /* 0011 1000 0011 */ &dsjs,
983 /* 0011 1000 0100 */ &dsjs,
984 /* 0011 1000 0101 */ &dsjs,
985 /* 0011 1000 0110 */ &dsjs,
986 /* 0011 1000 0111 */ &dsjs,
987 /* 0011 1000 1000 */ &dsjs,
988 /* 0011 1000 1001 */ &dsjs,
989 /* 0011 1000 1010 */ &dsjs,
990 /* 0011 1000 1011 */ &dsjs,
991 /* 0011 1000 1100 */ &dsjs,
992 /* 0011 1000 1101 */ &dsjs,
993 /* 0011 1000 1110 */ &dsjs,
994 /* 0011 1000 1111 */ &dsjs,
995 
996 /* 0011 1001 0000 */ &dsjs,
997 /* 0011 1001 0001 */ &dsjs,
998 /* 0011 1001 0010 */ &dsjs,
999 /* 0011 1001 0011 */ &dsjs,
1000 /* 0011 1001 0100 */ &dsjs,
1001 /* 0011 1001 0101 */ &dsjs,
1002 /* 0011 1001 0110 */ &dsjs,
1003 /* 0011 1001 0111 */ &dsjs,
1004 /* 0011 1001 1000 */ &dsjs,
1005 /* 0011 1001 1001 */ &dsjs,
1006 /* 0011 1001 1010 */ &dsjs,
1007 /* 0011 1001 1011 */ &dsjs,
1008 /* 0011 1001 1100 */ &dsjs,
1009 /* 0011 1001 1101 */ &dsjs,
1010 /* 0011 1001 1110 */ &dsjs,
1011 /* 0011 1001 1111 */ &dsjs,
1012 
1013 /* 0011 1010 0000 */ &dsjs,
1014 /* 0011 1010 0001 */ &dsjs,
1015 /* 0011 1010 0010 */ &dsjs,
1016 /* 0011 1010 0011 */ &dsjs,
1017 /* 0011 1010 0100 */ &dsjs,
1018 /* 0011 1010 0101 */ &dsjs,
1019 /* 0011 1010 0110 */ &dsjs,
1020 /* 0011 1010 0111 */ &dsjs,
1021 /* 0011 1010 1000 */ &dsjs,
1022 /* 0011 1010 1001 */ &dsjs,
1023 /* 0011 1010 1010 */ &dsjs,
1024 /* 0011 1010 1011 */ &dsjs,
1025 /* 0011 1010 1100 */ &dsjs,
1026 /* 0011 1010 1101 */ &dsjs,
1027 /* 0011 1010 1110 */ &dsjs,
1028 /* 0011 1010 1111 */ &dsjs,
1029 
1030 /* 0011 1011 0000 */ &dsjs,
1031 /* 0011 1011 0001 */ &dsjs,
1032 /* 0011 1011 0010 */ &dsjs,
1033 /* 0011 1011 0011 */ &dsjs,
1034 /* 0011 1011 0100 */ &dsjs,
1035 /* 0011 1011 0101 */ &dsjs,
1036 /* 0011 1011 0110 */ &dsjs,
1037 /* 0011 1011 0111 */ &dsjs,
1038 /* 0011 1011 1000 */ &dsjs,
1039 /* 0011 1011 1001 */ &dsjs,
1040 /* 0011 1011 1010 */ &dsjs,
1041 /* 0011 1011 1011 */ &dsjs,
1042 /* 0011 1011 1100 */ &dsjs,
1043 /* 0011 1011 1101 */ &dsjs,
1044 /* 0011 1011 1110 */ &dsjs,
1045 /* 0011 1011 1111 */ &dsjs,
1046 
1047 /* 0011 1100 0000 */ &dsjs,
1048 /* 0011 1100 0001 */ &dsjs,
1049 /* 0011 1100 0010 */ &dsjs,
1050 /* 0011 1100 0011 */ &dsjs,
1051 /* 0011 1100 0100 */ &dsjs,
1052 /* 0011 1100 0101 */ &dsjs,
1053 /* 0011 1100 0110 */ &dsjs,
1054 /* 0011 1100 0111 */ &dsjs,
1055 /* 0011 1100 1000 */ &dsjs,
1056 /* 0011 1100 1001 */ &dsjs,
1057 /* 0011 1100 1010 */ &dsjs,
1058 /* 0011 1100 1011 */ &dsjs,
1059 /* 0011 1100 1100 */ &dsjs,
1060 /* 0011 1100 1101 */ &dsjs,
1061 /* 0011 1100 1110 */ &dsjs,
1062 /* 0011 1100 1111 */ &dsjs,
1063 
1064 /* 0011 1101 0000 */ &dsjs,
1065 /* 0011 1101 0001 */ &dsjs,
1066 /* 0011 1101 0010 */ &dsjs,
1067 /* 0011 1101 0011 */ &dsjs,
1068 /* 0011 1101 0100 */ &dsjs,
1069 /* 0011 1101 0101 */ &dsjs,
1070 /* 0011 1101 0110 */ &dsjs,
1071 /* 0011 1101 0111 */ &dsjs,
1072 /* 0011 1101 1000 */ &dsjs,
1073 /* 0011 1101 1001 */ &dsjs,
1074 /* 0011 1101 1010 */ &dsjs,
1075 /* 0011 1101 1011 */ &dsjs,
1076 /* 0011 1101 1100 */ &dsjs,
1077 /* 0011 1101 1101 */ &dsjs,
1078 /* 0011 1101 1110 */ &dsjs,
1079 /* 0011 1101 1111 */ &dsjs,
1080 
1081 /* 0011 1110 0000 */ &dsjs,
1082 /* 0011 1110 0001 */ &dsjs,
1083 /* 0011 1110 0010 */ &dsjs,
1084 /* 0011 1110 0011 */ &dsjs,
1085 /* 0011 1110 0100 */ &dsjs,
1086 /* 0011 1110 0101 */ &dsjs,
1087 /* 0011 1110 0110 */ &dsjs,
1088 /* 0011 1110 0111 */ &dsjs,
1089 /* 0011 1110 1000 */ &dsjs,
1090 /* 0011 1110 1001 */ &dsjs,
1091 /* 0011 1110 1010 */ &dsjs,
1092 /* 0011 1110 1011 */ &dsjs,
1093 /* 0011 1110 1100 */ &dsjs,
1094 /* 0011 1110 1101 */ &dsjs,
1095 /* 0011 1110 1110 */ &dsjs,
1096 /* 0011 1110 1111 */ &dsjs,
1097 
1098 /* 0011 1111 0000 */ &dsjs,
1099 /* 0011 1111 0001 */ &dsjs,
1100 /* 0011 1111 0010 */ &dsjs,
1101 /* 0011 1111 0011 */ &dsjs,
1102 /* 0011 1111 0100 */ &dsjs,
1103 /* 0011 1111 0101 */ &dsjs,
1104 /* 0011 1111 0110 */ &dsjs,
1105 /* 0011 1111 0111 */ &dsjs,
1106 /* 0011 1111 1000 */ &dsjs,
1107 /* 0011 1111 1001 */ &dsjs,
1108 /* 0011 1111 1010 */ &dsjs,
1109 /* 0011 1111 1011 */ &dsjs,
1110 /* 0011 1111 1100 */ &dsjs,
1111 /* 0011 1111 1101 */ &dsjs,
1112 /* 0011 1111 1110 */ &dsjs,
1113 /* 0011 1111 1111 */ &dsjs,
1114 
1115 /* 0100 0000 0000 */ &add_rs_rd,
1116 /* 0100 0000 0001 */ &add_rs_rd,
1117 /* 0100 0000 0010 */ &add_rs_rd,
1118 /* 0100 0000 0011 */ &add_rs_rd,
1119 /* 0100 0000 0100 */ &add_rs_rd,
1120 /* 0100 0000 0101 */ &add_rs_rd,
1121 /* 0100 0000 0110 */ &add_rs_rd,
1122 /* 0100 0000 0111 */ &add_rs_rd,
1123 /* 0100 0000 1000 */ &add_rs_rd,
1124 /* 0100 0000 1001 */ &add_rs_rd,
1125 /* 0100 0000 1010 */ &add_rs_rd,
1126 /* 0100 0000 1011 */ &add_rs_rd,
1127 /* 0100 0000 1100 */ &add_rs_rd,
1128 /* 0100 0000 1101 */ &add_rs_rd,
1129 /* 0100 0000 1110 */ &add_rs_rd,
1130 /* 0100 0000 1111 */ &add_rs_rd,
1131 
1132 /* 0100 0001 0000 */ &add_rs_rd,
1133 /* 0100 0001 0001 */ &add_rs_rd,
1134 /* 0100 0001 0010 */ &add_rs_rd,
1135 /* 0100 0001 0011 */ &add_rs_rd,
1136 /* 0100 0001 0100 */ &add_rs_rd,
1137 /* 0100 0001 0101 */ &add_rs_rd,
1138 /* 0100 0001 0110 */ &add_rs_rd,
1139 /* 0100 0001 0111 */ &add_rs_rd,
1140 /* 0100 0001 1000 */ &add_rs_rd,
1141 /* 0100 0001 1001 */ &add_rs_rd,
1142 /* 0100 0001 1010 */ &add_rs_rd,
1143 /* 0100 0001 1011 */ &add_rs_rd,
1144 /* 0100 0001 1100 */ &add_rs_rd,
1145 /* 0100 0001 1101 */ &add_rs_rd,
1146 /* 0100 0001 1110 */ &add_rs_rd,
1147 /* 0100 0001 1111 */ &add_rs_rd,
1148 
1149 /* 0100 0010 0000 */ &addc_rs_rd,
1150 /* 0100 0010 0001 */ &addc_rs_rd,
1151 /* 0100 0010 0010 */ &addc_rs_rd,
1152 /* 0100 0010 0011 */ &addc_rs_rd,
1153 /* 0100 0010 0100 */ &addc_rs_rd,
1154 /* 0100 0010 0101 */ &addc_rs_rd,
1155 /* 0100 0010 0110 */ &addc_rs_rd,
1156 /* 0100 0010 0111 */ &addc_rs_rd,
1157 /* 0100 0010 1000 */ &addc_rs_rd,
1158 /* 0100 0010 1001 */ &addc_rs_rd,
1159 /* 0100 0010 1010 */ &addc_rs_rd,
1160 /* 0100 0010 1011 */ &addc_rs_rd,
1161 /* 0100 0010 1100 */ &addc_rs_rd,
1162 /* 0100 0010 1101 */ &addc_rs_rd,
1163 /* 0100 0010 1110 */ &addc_rs_rd,
1164 /* 0100 0010 1111 */ &addc_rs_rd,
1165 
1166 /* 0100 0011 0000 */ &addc_rs_rd,
1167 /* 0100 0011 0001 */ &addc_rs_rd,
1168 /* 0100 0011 0010 */ &addc_rs_rd,
1169 /* 0100 0011 0011 */ &addc_rs_rd,
1170 /* 0100 0011 0100 */ &addc_rs_rd,
1171 /* 0100 0011 0101 */ &addc_rs_rd,
1172 /* 0100 0011 0110 */ &addc_rs_rd,
1173 /* 0100 0011 0111 */ &addc_rs_rd,
1174 /* 0100 0011 1000 */ &addc_rs_rd,
1175 /* 0100 0011 1001 */ &addc_rs_rd,
1176 /* 0100 0011 1010 */ &addc_rs_rd,
1177 /* 0100 0011 1011 */ &addc_rs_rd,
1178 /* 0100 0011 1100 */ &addc_rs_rd,
1179 /* 0100 0011 1101 */ &addc_rs_rd,
1180 /* 0100 0011 1110 */ &addc_rs_rd,
1181 /* 0100 0011 1111 */ &addc_rs_rd,
1182 
1183 /* 0100 0100 0000 */ &sub_rs_rd,
1184 /* 0100 0100 0001 */ &sub_rs_rd,
1185 /* 0100 0100 0010 */ &sub_rs_rd,
1186 /* 0100 0100 0011 */ &sub_rs_rd,
1187 /* 0100 0100 0100 */ &sub_rs_rd,
1188 /* 0100 0100 0101 */ &sub_rs_rd,
1189 /* 0100 0100 0110 */ &sub_rs_rd,
1190 /* 0100 0100 0111 */ &sub_rs_rd,
1191 /* 0100 0100 1000 */ &sub_rs_rd,
1192 /* 0100 0100 1001 */ &sub_rs_rd,
1193 /* 0100 0100 1010 */ &sub_rs_rd,
1194 /* 0100 0100 1011 */ &sub_rs_rd,
1195 /* 0100 0100 1100 */ &sub_rs_rd,
1196 /* 0100 0100 1101 */ &sub_rs_rd,
1197 /* 0100 0100 1110 */ &sub_rs_rd,
1198 /* 0100 0100 1111 */ &sub_rs_rd,
1199 
1200 /* 0100 0101 0000 */ &sub_rs_rd,
1201 /* 0100 0101 0001 */ &sub_rs_rd,
1202 /* 0100 0101 0010 */ &sub_rs_rd,
1203 /* 0100 0101 0011 */ &sub_rs_rd,
1204 /* 0100 0101 0100 */ &sub_rs_rd,
1205 /* 0100 0101 0101 */ &sub_rs_rd,
1206 /* 0100 0101 0110 */ &sub_rs_rd,
1207 /* 0100 0101 0111 */ &sub_rs_rd,
1208 /* 0100 0101 1000 */ &sub_rs_rd,
1209 /* 0100 0101 1001 */ &sub_rs_rd,
1210 /* 0100 0101 1010 */ &sub_rs_rd,
1211 /* 0100 0101 1011 */ &sub_rs_rd,
1212 /* 0100 0101 1100 */ &sub_rs_rd,
1213 /* 0100 0101 1101 */ &sub_rs_rd,
1214 /* 0100 0101 1110 */ &sub_rs_rd,
1215 /* 0100 0101 1111 */ &sub_rs_rd,
1216 
1217 /* 0100 0110 0000 */ &unimplemented,
1218 /* 0100 0110 0001 */ &unimplemented,
1219 /* 0100 0110 0010 */ &unimplemented,
1220 /* 0100 0110 0011 */ &unimplemented,
1221 /* 0100 0110 0100 */ &unimplemented,
1222 /* 0100 0110 0101 */ &unimplemented,
1223 /* 0100 0110 0110 */ &unimplemented,
1224 /* 0100 0110 0111 */ &unimplemented,
1225 /* 0100 0110 1000 */ &unimplemented,
1226 /* 0100 0110 1001 */ &unimplemented,
1227 /* 0100 0110 1010 */ &unimplemented,
1228 /* 0100 0110 1011 */ &unimplemented,
1229 /* 0100 0110 1100 */ &unimplemented,
1230 /* 0100 0110 1101 */ &unimplemented,
1231 /* 0100 0110 1110 */ &unimplemented,
1232 /* 0100 0110 1111 */ &unimplemented,
1233 
1234 /* 0100 0111 0000 */ &unimplemented,
1235 /* 0100 0111 0001 */ &unimplemented,
1236 /* 0100 0111 0010 */ &unimplemented,
1237 /* 0100 0111 0011 */ &unimplemented,
1238 /* 0100 0111 0100 */ &unimplemented,
1239 /* 0100 0111 0101 */ &unimplemented,
1240 /* 0100 0111 0110 */ &unimplemented,
1241 /* 0100 0111 0111 */ &unimplemented,
1242 /* 0100 0111 1000 */ &unimplemented,
1243 /* 0100 0111 1001 */ &unimplemented,
1244 /* 0100 0111 1010 */ &unimplemented,
1245 /* 0100 0111 1011 */ &unimplemented,
1246 /* 0100 0111 1100 */ &unimplemented,
1247 /* 0100 0111 1101 */ &unimplemented,
1248 /* 0100 0111 1110 */ &unimplemented,
1249 /* 0100 0111 1111 */ &unimplemented,
1250 
1251 /* 0100 1000 0000 */ &cmp_rs_rd,
1252 /* 0100 1000 0001 */ &cmp_rs_rd,
1253 /* 0100 1000 0010 */ &cmp_rs_rd,
1254 /* 0100 1000 0011 */ &cmp_rs_rd,
1255 /* 0100 1000 0100 */ &cmp_rs_rd,
1256 /* 0100 1000 0101 */ &cmp_rs_rd,
1257 /* 0100 1000 0110 */ &cmp_rs_rd,
1258 /* 0100 1000 0111 */ &cmp_rs_rd,
1259 /* 0100 1000 1000 */ &cmp_rs_rd,
1260 /* 0100 1000 1001 */ &cmp_rs_rd,
1261 /* 0100 1000 1010 */ &cmp_rs_rd,
1262 /* 0100 1000 1011 */ &cmp_rs_rd,
1263 /* 0100 1000 1100 */ &cmp_rs_rd,
1264 /* 0100 1000 1101 */ &cmp_rs_rd,
1265 /* 0100 1000 1110 */ &cmp_rs_rd,
1266 /* 0100 1000 1111 */ &cmp_rs_rd,
1267 
1268 /* 0100 1001 0000 */ &cmp_rs_rd,
1269 /* 0100 1001 0001 */ &cmp_rs_rd,
1270 /* 0100 1001 0010 */ &cmp_rs_rd,
1271 /* 0100 1001 0011 */ &cmp_rs_rd,
1272 /* 0100 1001 0100 */ &cmp_rs_rd,
1273 /* 0100 1001 0101 */ &cmp_rs_rd,
1274 /* 0100 1001 0110 */ &cmp_rs_rd,
1275 /* 0100 1001 0111 */ &cmp_rs_rd,
1276 /* 0100 1001 1000 */ &cmp_rs_rd,
1277 /* 0100 1001 1001 */ &cmp_rs_rd,
1278 /* 0100 1001 1010 */ &cmp_rs_rd,
1279 /* 0100 1001 1011 */ &cmp_rs_rd,
1280 /* 0100 1001 1100 */ &cmp_rs_rd,
1281 /* 0100 1001 1101 */ &cmp_rs_rd,
1282 /* 0100 1001 1110 */ &cmp_rs_rd,
1283 /* 0100 1001 1111 */ &cmp_rs_rd,
1284 
1285 /* 0100 1010 0000 */ &btst_rs_rd,
1286 /* 0100 1010 0001 */ &btst_rs_rd,
1287 /* 0100 1010 0010 */ &btst_rs_rd,
1288 /* 0100 1010 0011 */ &btst_rs_rd,
1289 /* 0100 1010 0100 */ &btst_rs_rd,
1290 /* 0100 1010 0101 */ &btst_rs_rd,
1291 /* 0100 1010 0110 */ &btst_rs_rd,
1292 /* 0100 1010 0111 */ &btst_rs_rd,
1293 /* 0100 1010 1000 */ &btst_rs_rd,
1294 /* 0100 1010 1001 */ &btst_rs_rd,
1295 /* 0100 1010 1010 */ &btst_rs_rd,
1296 /* 0100 1010 1011 */ &btst_rs_rd,
1297 /* 0100 1010 1100 */ &btst_rs_rd,
1298 /* 0100 1010 1101 */ &btst_rs_rd,
1299 /* 0100 1010 1110 */ &btst_rs_rd,
1300 /* 0100 1010 1111 */ &btst_rs_rd,
1301 
1302 /* 0100 1011 0000 */ &btst_rs_rd,
1303 /* 0100 1011 0001 */ &btst_rs_rd,
1304 /* 0100 1011 0010 */ &btst_rs_rd,
1305 /* 0100 1011 0011 */ &btst_rs_rd,
1306 /* 0100 1011 0100 */ &btst_rs_rd,
1307 /* 0100 1011 0101 */ &btst_rs_rd,
1308 /* 0100 1011 0110 */ &btst_rs_rd,
1309 /* 0100 1011 0111 */ &btst_rs_rd,
1310 /* 0100 1011 1000 */ &btst_rs_rd,
1311 /* 0100 1011 1001 */ &btst_rs_rd,
1312 /* 0100 1011 1010 */ &btst_rs_rd,
1313 /* 0100 1011 1011 */ &btst_rs_rd,
1314 /* 0100 1011 1100 */ &btst_rs_rd,
1315 /* 0100 1011 1101 */ &btst_rs_rd,
1316 /* 0100 1011 1110 */ &btst_rs_rd,
1317 /* 0100 1011 1111 */ &btst_rs_rd,
1318 
1319 /* 0100 1100 0000 */ &move_rs_rd,
1320 /* 0100 1100 0001 */ &move_rs_rd,
1321 /* 0100 1100 0010 */ &move_rs_rd,
1322 /* 0100 1100 0011 */ &move_rs_rd,
1323 /* 0100 1100 0100 */ &move_rs_rd,
1324 /* 0100 1100 0101 */ &move_rs_rd,
1325 /* 0100 1100 0110 */ &move_rs_rd,
1326 /* 0100 1100 0111 */ &move_rs_rd,
1327 /* 0100 1100 1000 */ &move_rs_rd,
1328 /* 0100 1100 1001 */ &move_rs_rd,
1329 /* 0100 1100 1010 */ &move_rs_rd,
1330 /* 0100 1100 1011 */ &move_rs_rd,
1331 /* 0100 1100 1100 */ &move_rs_rd,
1332 /* 0100 1100 1101 */ &move_rs_rd,
1333 /* 0100 1100 1110 */ &move_rs_rd,
1334 /* 0100 1100 1111 */ &move_rs_rd,
1335 
1336 /* 0100 1101 0000 */ &move_rs_rd,
1337 /* 0100 1101 0001 */ &move_rs_rd,
1338 /* 0100 1101 0010 */ &move_rs_rd,
1339 /* 0100 1101 0011 */ &move_rs_rd,
1340 /* 0100 1101 0100 */ &move_rs_rd,
1341 /* 0100 1101 0101 */ &move_rs_rd,
1342 /* 0100 1101 0110 */ &move_rs_rd,
1343 /* 0100 1101 0111 */ &move_rs_rd,
1344 /* 0100 1101 1000 */ &move_rs_rd,
1345 /* 0100 1101 1001 */ &move_rs_rd,
1346 /* 0100 1101 1010 */ &move_rs_rd,
1347 /* 0100 1101 1011 */ &move_rs_rd,
1348 /* 0100 1101 1100 */ &move_rs_rd,
1349 /* 0100 1101 1101 */ &move_rs_rd,
1350 /* 0100 1101 1110 */ &move_rs_rd,
1351 /* 0100 1101 1111 */ &move_rs_rd,
1352 
1353 /* 0100 1110 0000 */ &move_rs_rd_a,
1354 /* 0100 1110 0001 */ &move_rs_rd_b,
1355 /* 0100 1110 0010 */ &move_rs_rd_a,
1356 /* 0100 1110 0011 */ &move_rs_rd_b,
1357 /* 0100 1110 0100 */ &move_rs_rd_a,
1358 /* 0100 1110 0101 */ &move_rs_rd_b,
1359 /* 0100 1110 0110 */ &move_rs_rd_a,
1360 /* 0100 1110 0111 */ &move_rs_rd_b,
1361 /* 0100 1110 1000 */ &move_rs_rd_a,
1362 /* 0100 1110 1001 */ &move_rs_rd_b,
1363 /* 0100 1110 1010 */ &move_rs_rd_a,
1364 /* 0100 1110 1011 */ &move_rs_rd_b,
1365 /* 0100 1110 1100 */ &move_rs_rd_a,
1366 /* 0100 1110 1101 */ &move_rs_rd_b,
1367 /* 0100 1110 1110 */ &move_rs_rd_a,
1368 /* 0100 1110 1111 */ &move_rs_rd_b,
1369 
1370 /* 0100 1111 0000 */ &move_rs_rd_a,
1371 /* 0100 1111 0001 */ &move_rs_rd_b,
1372 /* 0100 1111 0010 */ &move_rs_rd_a,
1373 /* 0100 1111 0011 */ &move_rs_rd_b,
1374 /* 0100 1111 0100 */ &move_rs_rd_a,
1375 /* 0100 1111 0101 */ &move_rs_rd_b,
1376 /* 0100 1111 0110 */ &move_rs_rd_a,
1377 /* 0100 1111 0111 */ &move_rs_rd_b,
1378 /* 0100 1111 1000 */ &move_rs_rd_a,
1379 /* 0100 1111 1001 */ &move_rs_rd_b,
1380 /* 0100 1111 1010 */ &move_rs_rd_a,
1381 /* 0100 1111 1011 */ &move_rs_rd_b,
1382 /* 0100 1111 1100 */ &move_rs_rd_a,
1383 /* 0100 1111 1101 */ &move_rs_rd_b,
1384 /* 0100 1111 1110 */ &move_rs_rd_a,
1385 /* 0100 1111 1111 */ &move_rs_rd_b,
1386 
1387 /* 0101 0000 0000 */ &and_rs_rd,
1388 /* 0101 0000 0001 */ &and_rs_rd,
1389 /* 0101 0000 0010 */ &and_rs_rd,
1390 /* 0101 0000 0011 */ &and_rs_rd,
1391 /* 0101 0000 0100 */ &and_rs_rd,
1392 /* 0101 0000 0101 */ &and_rs_rd,
1393 /* 0101 0000 0110 */ &and_rs_rd,
1394 /* 0101 0000 0111 */ &and_rs_rd,
1395 /* 0101 0000 1000 */ &and_rs_rd,
1396 /* 0101 0000 1001 */ &and_rs_rd,
1397 /* 0101 0000 1010 */ &and_rs_rd,
1398 /* 0101 0000 1011 */ &and_rs_rd,
1399 /* 0101 0000 1100 */ &and_rs_rd,
1400 /* 0101 0000 1101 */ &and_rs_rd,
1401 /* 0101 0000 1110 */ &and_rs_rd,
1402 /* 0101 0000 1111 */ &and_rs_rd,
1403 
1404 /* 0101 0001 0000 */ &and_rs_rd,
1405 /* 0101 0001 0001 */ &and_rs_rd,
1406 /* 0101 0001 0010 */ &and_rs_rd,
1407 /* 0101 0001 0011 */ &and_rs_rd,
1408 /* 0101 0001 0100 */ &and_rs_rd,
1409 /* 0101 0001 0101 */ &and_rs_rd,
1410 /* 0101 0001 0110 */ &and_rs_rd,
1411 /* 0101 0001 0111 */ &and_rs_rd,
1412 /* 0101 0001 1000 */ &and_rs_rd,
1413 /* 0101 0001 1001 */ &and_rs_rd,
1414 /* 0101 0001 1010 */ &and_rs_rd,
1415 /* 0101 0001 1011 */ &and_rs_rd,
1416 /* 0101 0001 1100 */ &and_rs_rd,
1417 /* 0101 0001 1101 */ &and_rs_rd,
1418 /* 0101 0001 1110 */ &and_rs_rd,
1419 /* 0101 0001 1111 */ &and_rs_rd,
1420 
1421 /* 0101 0010 0000 */ &andn_rs_rd,
1422 /* 0101 0010 0001 */ &andn_rs_rd,
1423 /* 0101 0010 0010 */ &andn_rs_rd,
1424 /* 0101 0010 0011 */ &andn_rs_rd,
1425 /* 0101 0010 0100 */ &andn_rs_rd,
1426 /* 0101 0010 0101 */ &andn_rs_rd,
1427 /* 0101 0010 0110 */ &andn_rs_rd,
1428 /* 0101 0010 0111 */ &andn_rs_rd,
1429 /* 0101 0010 1000 */ &andn_rs_rd,
1430 /* 0101 0010 1001 */ &andn_rs_rd,
1431 /* 0101 0010 1010 */ &andn_rs_rd,
1432 /* 0101 0010 1011 */ &andn_rs_rd,
1433 /* 0101 0010 1100 */ &andn_rs_rd,
1434 /* 0101 0010 1101 */ &andn_rs_rd,
1435 /* 0101 0010 1110 */ &andn_rs_rd,
1436 /* 0101 0010 1111 */ &andn_rs_rd,
1437 
1438 /* 0101 0011 0000 */ &andn_rs_rd,
1439 /* 0101 0011 0001 */ &andn_rs_rd,
1440 /* 0101 0011 0010 */ &andn_rs_rd,
1441 /* 0101 0011 0011 */ &andn_rs_rd,
1442 /* 0101 0011 0100 */ &andn_rs_rd,
1443 /* 0101 0011 0101 */ &andn_rs_rd,
1444 /* 0101 0011 0110 */ &andn_rs_rd,
1445 /* 0101 0011 0111 */ &andn_rs_rd,
1446 /* 0101 0011 1000 */ &andn_rs_rd,
1447 /* 0101 0011 1001 */ &andn_rs_rd,
1448 /* 0101 0011 1010 */ &andn_rs_rd,
1449 /* 0101 0011 1011 */ &andn_rs_rd,
1450 /* 0101 0011 1100 */ &andn_rs_rd,
1451 /* 0101 0011 1101 */ &andn_rs_rd,
1452 /* 0101 0011 1110 */ &andn_rs_rd,
1453 /* 0101 0011 1111 */ &andn_rs_rd,
1454 
1455 /* 0101 0100 0000 */ &or_rs_rd,
1456 /* 0101 0100 0001 */ &or_rs_rd,
1457 /* 0101 0100 0010 */ &or_rs_rd,
1458 /* 0101 0100 0011 */ &or_rs_rd,
1459 /* 0101 0100 0100 */ &or_rs_rd,
1460 /* 0101 0100 0101 */ &or_rs_rd,
1461 /* 0101 0100 0110 */ &or_rs_rd,
1462 /* 0101 0100 0111 */ &or_rs_rd,
1463 /* 0101 0100 1000 */ &or_rs_rd,
1464 /* 0101 0100 1001 */ &or_rs_rd,
1465 /* 0101 0100 1010 */ &or_rs_rd,
1466 /* 0101 0100 1011 */ &or_rs_rd,
1467 /* 0101 0100 1100 */ &or_rs_rd,
1468 /* 0101 0100 1101 */ &or_rs_rd,
1469 /* 0101 0100 1110 */ &or_rs_rd,
1470 /* 0101 0100 1111 */ &or_rs_rd,
1471 
1472 /* 0101 0101 0000 */ &or_rs_rd,
1473 /* 0101 0101 0001 */ &or_rs_rd,
1474 /* 0101 0101 0010 */ &or_rs_rd,
1475 /* 0101 0101 0011 */ &or_rs_rd,
1476 /* 0101 0101 0100 */ &or_rs_rd,
1477 /* 0101 0101 0101 */ &or_rs_rd,
1478 /* 0101 0101 0110 */ &or_rs_rd,
1479 /* 0101 0101 0111 */ &or_rs_rd,
1480 /* 0101 0101 1000 */ &or_rs_rd,
1481 /* 0101 0101 1001 */ &or_rs_rd,
1482 /* 0101 0101 1010 */ &or_rs_rd,
1483 /* 0101 0101 1011 */ &or_rs_rd,
1484 /* 0101 0101 1100 */ &or_rs_rd,
1485 /* 0101 0101 1101 */ &or_rs_rd,
1486 /* 0101 0101 1110 */ &or_rs_rd,
1487 /* 0101 0101 1111 */ &or_rs_rd,
1488 
1489 /* 0101 0110 0000 */ &xor_rs_rd,
1490 /* 0101 0110 0001 */ &xor_rs_rd,
1491 /* 0101 0110 0010 */ &xor_rs_rd,
1492 /* 0101 0110 0011 */ &xor_rs_rd,
1493 /* 0101 0110 0100 */ &xor_rs_rd,
1494 /* 0101 0110 0101 */ &xor_rs_rd,
1495 /* 0101 0110 0110 */ &xor_rs_rd,
1496 /* 0101 0110 0111 */ &xor_rs_rd,
1497 /* 0101 0110 1000 */ &xor_rs_rd,
1498 /* 0101 0110 1001 */ &xor_rs_rd,
1499 /* 0101 0110 1010 */ &xor_rs_rd,
1500 /* 0101 0110 1011 */ &xor_rs_rd,
1501 /* 0101 0110 1100 */ &xor_rs_rd,
1502 /* 0101 0110 1101 */ &xor_rs_rd,
1503 /* 0101 0110 1110 */ &xor_rs_rd,
1504 /* 0101 0110 1111 */ &xor_rs_rd,
1505 
1506 /* 0101 0111 0000 */ &xor_rs_rd,
1507 /* 0101 0111 0001 */ &xor_rs_rd,
1508 /* 0101 0111 0010 */ &xor_rs_rd,
1509 /* 0101 0111 0011 */ &xor_rs_rd,
1510 /* 0101 0111 0100 */ &xor_rs_rd,
1511 /* 0101 0111 0101 */ &xor_rs_rd,
1512 /* 0101 0111 0110 */ &xor_rs_rd,
1513 /* 0101 0111 0111 */ &xor_rs_rd,
1514 /* 0101 0111 1000 */ &xor_rs_rd,
1515 /* 0101 0111 1001 */ &xor_rs_rd,
1516 /* 0101 0111 1010 */ &xor_rs_rd,
1517 /* 0101 0111 1011 */ &xor_rs_rd,
1518 /* 0101 0111 1100 */ &xor_rs_rd,
1519 /* 0101 0111 1101 */ &xor_rs_rd,
1520 /* 0101 0111 1110 */ &xor_rs_rd,
1521 /* 0101 0111 1111 */ &xor_rs_rd,
1522 
1523 /* 0101 1000 0000 */ &divs_rs_rd,
1524 /* 0101 1000 0001 */ &divs_rs_rd,
1525 /* 0101 1000 0010 */ &divs_rs_rd,
1526 /* 0101 1000 0011 */ &divs_rs_rd,
1527 /* 0101 1000 0100 */ &divs_rs_rd,
1528 /* 0101 1000 0101 */ &divs_rs_rd,
1529 /* 0101 1000 0110 */ &divs_rs_rd,
1530 /* 0101 1000 0111 */ &divs_rs_rd,
1531 /* 0101 1000 1000 */ &divs_rs_rd,
1532 /* 0101 1000 1001 */ &divs_rs_rd,
1533 /* 0101 1000 1010 */ &divs_rs_rd,
1534 /* 0101 1000 1011 */ &divs_rs_rd,
1535 /* 0101 1000 1100 */ &divs_rs_rd,
1536 /* 0101 1000 1101 */ &divs_rs_rd,
1537 /* 0101 1000 1110 */ &divs_rs_rd,
1538 /* 0101 1000 1111 */ &divs_rs_rd,
1539 
1540 /* 0101 1001 0000 */ &divs_rs_rd,
1541 /* 0101 1001 0001 */ &divs_rs_rd,
1542 /* 0101 1001 0010 */ &divs_rs_rd,
1543 /* 0101 1001 0011 */ &divs_rs_rd,
1544 /* 0101 1001 0100 */ &divs_rs_rd,
1545 /* 0101 1001 0101 */ &divs_rs_rd,
1546 /* 0101 1001 0110 */ &divs_rs_rd,
1547 /* 0101 1001 0111 */ &divs_rs_rd,
1548 /* 0101 1001 1000 */ &divs_rs_rd,
1549 /* 0101 1001 1001 */ &divs_rs_rd,
1550 /* 0101 1001 1010 */ &divs_rs_rd,
1551 /* 0101 1001 1011 */ &divs_rs_rd,
1552 /* 0101 1001 1100 */ &divs_rs_rd,
1553 /* 0101 1001 1101 */ &divs_rs_rd,
1554 /* 0101 1001 1110 */ &divs_rs_rd,
1555 /* 0101 1001 1111 */ &divs_rs_rd,
1556 
1557 /* 0101 1010 0000 */ &divu_rs_rd,
1558 /* 0101 1010 0001 */ &divu_rs_rd,
1559 /* 0101 1010 0010 */ &divu_rs_rd,
1560 /* 0101 1010 0011 */ &divu_rs_rd,
1561 /* 0101 1010 0100 */ &divu_rs_rd,
1562 /* 0101 1010 0101 */ &divu_rs_rd,
1563 /* 0101 1010 0110 */ &divu_rs_rd,
1564 /* 0101 1010 0111 */ &divu_rs_rd,
1565 /* 0101 1010 1000 */ &divu_rs_rd,
1566 /* 0101 1010 1001 */ &divu_rs_rd,
1567 /* 0101 1010 1010 */ &divu_rs_rd,
1568 /* 0101 1010 1011 */ &divu_rs_rd,
1569 /* 0101 1010 1100 */ &divu_rs_rd,
1570 /* 0101 1010 1101 */ &divu_rs_rd,
1571 /* 0101 1010 1110 */ &divu_rs_rd,
1572 /* 0101 1010 1111 */ &divu_rs_rd,
1573 
1574 /* 0101 1011 0000 */ &divu_rs_rd,
1575 /* 0101 1011 0001 */ &divu_rs_rd,
1576 /* 0101 1011 0010 */ &divu_rs_rd,
1577 /* 0101 1011 0011 */ &divu_rs_rd,
1578 /* 0101 1011 0100 */ &divu_rs_rd,
1579 /* 0101 1011 0101 */ &divu_rs_rd,
1580 /* 0101 1011 0110 */ &divu_rs_rd,
1581 /* 0101 1011 0111 */ &divu_rs_rd,
1582 /* 0101 1011 1000 */ &divu_rs_rd,
1583 /* 0101 1011 1001 */ &divu_rs_rd,
1584 /* 0101 1011 1010 */ &divu_rs_rd,
1585 /* 0101 1011 1011 */ &divu_rs_rd,
1586 /* 0101 1011 1100 */ &divu_rs_rd,
1587 /* 0101 1011 1101 */ &divu_rs_rd,
1588 /* 0101 1011 1110 */ &divu_rs_rd,
1589 /* 0101 1011 1111 */ &divu_rs_rd,
1590 
1591 /* 0101 1100 0000 */ &mpys_rs_rd,
1592 /* 0101 1100 0001 */ &mpys_rs_rd,
1593 /* 0101 1100 0010 */ &mpys_rs_rd,
1594 /* 0101 1100 0011 */ &mpys_rs_rd,
1595 /* 0101 1100 0100 */ &mpys_rs_rd,
1596 /* 0101 1100 0101 */ &mpys_rs_rd,
1597 /* 0101 1100 0110 */ &mpys_rs_rd,
1598 /* 0101 1100 0111 */ &mpys_rs_rd,
1599 /* 0101 1100 1000 */ &mpys_rs_rd,
1600 /* 0101 1100 1001 */ &mpys_rs_rd,
1601 /* 0101 1100 1010 */ &mpys_rs_rd,
1602 /* 0101 1100 1011 */ &mpys_rs_rd,
1603 /* 0101 1100 1100 */ &mpys_rs_rd,
1604 /* 0101 1100 1101 */ &mpys_rs_rd,
1605 /* 0101 1100 1110 */ &mpys_rs_rd,
1606 /* 0101 1100 1111 */ &mpys_rs_rd,
1607 
1608 /* 0101 1101 0000 */ &mpys_rs_rd,
1609 /* 0101 1101 0001 */ &mpys_rs_rd,
1610 /* 0101 1101 0010 */ &mpys_rs_rd,
1611 /* 0101 1101 0011 */ &mpys_rs_rd,
1612 /* 0101 1101 0100 */ &mpys_rs_rd,
1613 /* 0101 1101 0101 */ &mpys_rs_rd,
1614 /* 0101 1101 0110 */ &mpys_rs_rd,
1615 /* 0101 1101 0111 */ &mpys_rs_rd,
1616 /* 0101 1101 1000 */ &mpys_rs_rd,
1617 /* 0101 1101 1001 */ &mpys_rs_rd,
1618 /* 0101 1101 1010 */ &mpys_rs_rd,
1619 /* 0101 1101 1011 */ &mpys_rs_rd,
1620 /* 0101 1101 1100 */ &mpys_rs_rd,
1621 /* 0101 1101 1101 */ &mpys_rs_rd,
1622 /* 0101 1101 1110 */ &mpys_rs_rd,
1623 /* 0101 1101 1111 */ &mpys_rs_rd,
1624 
1625 /* 0101 1110 0000 */ &mpyu_rs_rd,
1626 /* 0101 1110 0001 */ &mpyu_rs_rd,
1627 /* 0101 1110 0010 */ &mpyu_rs_rd,
1628 /* 0101 1110 0011 */ &mpyu_rs_rd,
1629 /* 0101 1110 0100 */ &mpyu_rs_rd,
1630 /* 0101 1110 0101 */ &mpyu_rs_rd,
1631 /* 0101 1110 0110 */ &mpyu_rs_rd,
1632 /* 0101 1110 0111 */ &mpyu_rs_rd,
1633 /* 0101 1110 1000 */ &mpyu_rs_rd,
1634 /* 0101 1110 1001 */ &mpyu_rs_rd,
1635 /* 0101 1110 1010 */ &mpyu_rs_rd,
1636 /* 0101 1110 1011 */ &mpyu_rs_rd,
1637 /* 0101 1110 1100 */ &mpyu_rs_rd,
1638 /* 0101 1110 1101 */ &mpyu_rs_rd,
1639 /* 0101 1110 1110 */ &mpyu_rs_rd,
1640 /* 0101 1110 1111 */ &mpyu_rs_rd,
1641 
1642 /* 0101 1111 0000 */ &mpyu_rs_rd,
1643 /* 0101 1111 0001 */ &mpyu_rs_rd,
1644 /* 0101 1111 0010 */ &mpyu_rs_rd,
1645 /* 0101 1111 0011 */ &mpyu_rs_rd,
1646 /* 0101 1111 0100 */ &mpyu_rs_rd,
1647 /* 0101 1111 0101 */ &mpyu_rs_rd,
1648 /* 0101 1111 0110 */ &mpyu_rs_rd,
1649 /* 0101 1111 0111 */ &mpyu_rs_rd,
1650 /* 0101 1111 1000 */ &mpyu_rs_rd,
1651 /* 0101 1111 1001 */ &mpyu_rs_rd,
1652 /* 0101 1111 1010 */ &mpyu_rs_rd,
1653 /* 0101 1111 1011 */ &mpyu_rs_rd,
1654 /* 0101 1111 1100 */ &mpyu_rs_rd,
1655 /* 0101 1111 1101 */ &mpyu_rs_rd,
1656 /* 0101 1111 1110 */ &mpyu_rs_rd,
1657 /* 0101 1111 1111 */ &mpyu_rs_rd,
1658 
1659 /* 0110 0000 0000 */ &sla_rs_rd,
1660 /* 0110 0000 0001 */ &sla_rs_rd,
1661 /* 0110 0000 0010 */ &sla_rs_rd,
1662 /* 0110 0000 0011 */ &sla_rs_rd,
1663 /* 0110 0000 0100 */ &sla_rs_rd,
1664 /* 0110 0000 0101 */ &sla_rs_rd,
1665 /* 0110 0000 0110 */ &sla_rs_rd,
1666 /* 0110 0000 0111 */ &sla_rs_rd,
1667 /* 0110 0000 1000 */ &sla_rs_rd,
1668 /* 0110 0000 1001 */ &sla_rs_rd,
1669 /* 0110 0000 1010 */ &sla_rs_rd,
1670 /* 0110 0000 1011 */ &sla_rs_rd,
1671 /* 0110 0000 1100 */ &sla_rs_rd,
1672 /* 0110 0000 1101 */ &sla_rs_rd,
1673 /* 0110 0000 1110 */ &sla_rs_rd,
1674 /* 0110 0000 1111 */ &sla_rs_rd,
1675 
1676 /* 0110 0001 0000 */ &sla_rs_rd,
1677 /* 0110 0001 0001 */ &sla_rs_rd,
1678 /* 0110 0001 0010 */ &sla_rs_rd,
1679 /* 0110 0001 0011 */ &sla_rs_rd,
1680 /* 0110 0001 0100 */ &sla_rs_rd,
1681 /* 0110 0001 0101 */ &sla_rs_rd,
1682 /* 0110 0001 0110 */ &sla_rs_rd,
1683 /* 0110 0001 0111 */ &sla_rs_rd,
1684 /* 0110 0001 1000 */ &sla_rs_rd,
1685 /* 0110 0001 1001 */ &sla_rs_rd,
1686 /* 0110 0001 1010 */ &sla_rs_rd,
1687 /* 0110 0001 1011 */ &sla_rs_rd,
1688 /* 0110 0001 1100 */ &sla_rs_rd,
1689 /* 0110 0001 1101 */ &sla_rs_rd,
1690 /* 0110 0001 1110 */ &sla_rs_rd,
1691 /* 0110 0001 1111 */ &sla_rs_rd,
1692 
1693 /* 0110 0010 0000 */ &sll_rs_rd,
1694 /* 0110 0010 0001 */ &sll_rs_rd,
1695 /* 0110 0010 0010 */ &sll_rs_rd,
1696 /* 0110 0010 0011 */ &sll_rs_rd,
1697 /* 0110 0010 0100 */ &sll_rs_rd,
1698 /* 0110 0010 0101 */ &sll_rs_rd,
1699 /* 0110 0010 0110 */ &sll_rs_rd,
1700 /* 0110 0010 0111 */ &sll_rs_rd,
1701 /* 0110 0010 1000 */ &sll_rs_rd,
1702 /* 0110 0010 1001 */ &sll_rs_rd,
1703 /* 0110 0010 1010 */ &sll_rs_rd,
1704 /* 0110 0010 1011 */ &sll_rs_rd,
1705 /* 0110 0010 1100 */ &sll_rs_rd,
1706 /* 0110 0010 1101 */ &sll_rs_rd,
1707 /* 0110 0010 1110 */ &sll_rs_rd,
1708 /* 0110 0010 1111 */ &sll_rs_rd,
1709 
1710 /* 0110 0011 0000 */ &sll_rs_rd,
1711 /* 0110 0011 0001 */ &sll_rs_rd,
1712 /* 0110 0011 0010 */ &sll_rs_rd,
1713 /* 0110 0011 0011 */ &sll_rs_rd,
1714 /* 0110 0011 0100 */ &sll_rs_rd,
1715 /* 0110 0011 0101 */ &sll_rs_rd,
1716 /* 0110 0011 0110 */ &sll_rs_rd,
1717 /* 0110 0011 0111 */ &sll_rs_rd,
1718 /* 0110 0011 1000 */ &sll_rs_rd,
1719 /* 0110 0011 1001 */ &sll_rs_rd,
1720 /* 0110 0011 1010 */ &sll_rs_rd,
1721 /* 0110 0011 1011 */ &sll_rs_rd,
1722 /* 0110 0011 1100 */ &sll_rs_rd,
1723 /* 0110 0011 1101 */ &sll_rs_rd,
1724 /* 0110 0011 1110 */ &sll_rs_rd,
1725 /* 0110 0011 1111 */ &sll_rs_rd,
1726 
1727 /* 0110 0100 0000 */ &sra_rs_rd,
1728 /* 0110 0100 0001 */ &sra_rs_rd,
1729 /* 0110 0100 0010 */ &sra_rs_rd,
1730 /* 0110 0100 0011 */ &sra_rs_rd,
1731 /* 0110 0100 0100 */ &sra_rs_rd,
1732 /* 0110 0100 0101 */ &sra_rs_rd,
1733 /* 0110 0100 0110 */ &sra_rs_rd,
1734 /* 0110 0100 0111 */ &sra_rs_rd,
1735 /* 0110 0100 1000 */ &sra_rs_rd,
1736 /* 0110 0100 1001 */ &sra_rs_rd,
1737 /* 0110 0100 1010 */ &sra_rs_rd,
1738 /* 0110 0100 1011 */ &sra_rs_rd,
1739 /* 0110 0100 1100 */ &sra_rs_rd,
1740 /* 0110 0100 1101 */ &sra_rs_rd,
1741 /* 0110 0100 1110 */ &sra_rs_rd,
1742 /* 0110 0100 1111 */ &sra_rs_rd,
1743 
1744 /* 0110 0101 0000 */ &sra_rs_rd,
1745 /* 0110 0101 0001 */ &sra_rs_rd,
1746 /* 0110 0101 0010 */ &sra_rs_rd,
1747 /* 0110 0101 0011 */ &sra_rs_rd,
1748 /* 0110 0101 0100 */ &sra_rs_rd,
1749 /* 0110 0101 0101 */ &sra_rs_rd,
1750 /* 0110 0101 0110 */ &sra_rs_rd,
1751 /* 0110 0101 0111 */ &sra_rs_rd,
1752 /* 0110 0101 1000 */ &sra_rs_rd,
1753 /* 0110 0101 1001 */ &sra_rs_rd,
1754 /* 0110 0101 1010 */ &sra_rs_rd,
1755 /* 0110 0101 1011 */ &sra_rs_rd,
1756 /* 0110 0101 1100 */ &sra_rs_rd,
1757 /* 0110 0101 1101 */ &sra_rs_rd,
1758 /* 0110 0101 1110 */ &sra_rs_rd,
1759 /* 0110 0101 1111 */ &sra_rs_rd,
1760 
1761 /* 0110 0110 0000 */ &srl_rs_rd,
1762 /* 0110 0110 0001 */ &srl_rs_rd,
1763 /* 0110 0110 0010 */ &srl_rs_rd,
1764 /* 0110 0110 0011 */ &srl_rs_rd,
1765 /* 0110 0110 0100 */ &srl_rs_rd,
1766 /* 0110 0110 0101 */ &srl_rs_rd,
1767 /* 0110 0110 0110 */ &srl_rs_rd,
1768 /* 0110 0110 0111 */ &srl_rs_rd,
1769 /* 0110 0110 1000 */ &srl_rs_rd,
1770 /* 0110 0110 1001 */ &srl_rs_rd,
1771 /* 0110 0110 1010 */ &srl_rs_rd,
1772 /* 0110 0110 1011 */ &srl_rs_rd,
1773 /* 0110 0110 1100 */ &srl_rs_rd,
1774 /* 0110 0110 1101 */ &srl_rs_rd,
1775 /* 0110 0110 1110 */ &srl_rs_rd,
1776 /* 0110 0110 1111 */ &srl_rs_rd,
1777 
1778 /* 0110 0111 0000 */ &srl_rs_rd,
1779 /* 0110 0111 0001 */ &srl_rs_rd,
1780 /* 0110 0111 0010 */ &srl_rs_rd,
1781 /* 0110 0111 0011 */ &srl_rs_rd,
1782 /* 0110 0111 0100 */ &srl_rs_rd,
1783 /* 0110 0111 0101 */ &srl_rs_rd,
1784 /* 0110 0111 0110 */ &srl_rs_rd,
1785 /* 0110 0111 0111 */ &srl_rs_rd,
1786 /* 0110 0111 1000 */ &srl_rs_rd,
1787 /* 0110 0111 1001 */ &srl_rs_rd,
1788 /* 0110 0111 1010 */ &srl_rs_rd,
1789 /* 0110 0111 1011 */ &srl_rs_rd,
1790 /* 0110 0111 1100 */ &srl_rs_rd,
1791 /* 0110 0111 1101 */ &srl_rs_rd,
1792 /* 0110 0111 1110 */ &srl_rs_rd,
1793 /* 0110 0111 1111 */ &srl_rs_rd,
1794 
1795 /* 0110 1000 0000 */ &rl_rs_rd,
1796 /* 0110 1000 0001 */ &rl_rs_rd,
1797 /* 0110 1000 0010 */ &rl_rs_rd,
1798 /* 0110 1000 0011 */ &rl_rs_rd,
1799 /* 0110 1000 0100 */ &rl_rs_rd,
1800 /* 0110 1000 0101 */ &rl_rs_rd,
1801 /* 0110 1000 0110 */ &rl_rs_rd,
1802 /* 0110 1000 0111 */ &rl_rs_rd,
1803 /* 0110 1000 1000 */ &rl_rs_rd,
1804 /* 0110 1000 1001 */ &rl_rs_rd,
1805 /* 0110 1000 1010 */ &rl_rs_rd,
1806 /* 0110 1000 1011 */ &rl_rs_rd,
1807 /* 0110 1000 1100 */ &rl_rs_rd,
1808 /* 0110 1000 1101 */ &rl_rs_rd,
1809 /* 0110 1000 1110 */ &rl_rs_rd,
1810 /* 0110 1000 1111 */ &rl_rs_rd,
1811 
1812 /* 0110 1001 0000 */ &rl_rs_rd,
1813 /* 0110 1001 0001 */ &rl_rs_rd,
1814 /* 0110 1001 0010 */ &rl_rs_rd,
1815 /* 0110 1001 0011 */ &rl_rs_rd,
1816 /* 0110 1001 0100 */ &rl_rs_rd,
1817 /* 0110 1001 0101 */ &rl_rs_rd,
1818 /* 0110 1001 0110 */ &rl_rs_rd,
1819 /* 0110 1001 0111 */ &rl_rs_rd,
1820 /* 0110 1001 1000 */ &rl_rs_rd,
1821 /* 0110 1001 1001 */ &rl_rs_rd,
1822 /* 0110 1001 1010 */ &rl_rs_rd,
1823 /* 0110 1001 1011 */ &rl_rs_rd,
1824 /* 0110 1001 1100 */ &rl_rs_rd,
1825 /* 0110 1001 1101 */ &rl_rs_rd,
1826 /* 0110 1001 1110 */ &rl_rs_rd,
1827 /* 0110 1001 1111 */ &rl_rs_rd,
1828 
1829 /* 0110 1010 0000 */ &lmo_rs_rd,
1830 /* 0110 1010 0001 */ &lmo_rs_rd,
1831 /* 0110 1010 0010 */ &lmo_rs_rd,
1832 /* 0110 1010 0011 */ &lmo_rs_rd,
1833 /* 0110 1010 0100 */ &lmo_rs_rd,
1834 /* 0110 1010 0101 */ &lmo_rs_rd,
1835 /* 0110 1010 0110 */ &lmo_rs_rd,
1836 /* 0110 1010 0111 */ &lmo_rs_rd,
1837 /* 0110 1010 1000 */ &lmo_rs_rd,
1838 /* 0110 1010 1001 */ &lmo_rs_rd,
1839 /* 0110 1010 1010 */ &lmo_rs_rd,
1840 /* 0110 1010 1011 */ &lmo_rs_rd,
1841 /* 0110 1010 1100 */ &lmo_rs_rd,
1842 /* 0110 1010 1101 */ &lmo_rs_rd,
1843 /* 0110 1010 1110 */ &lmo_rs_rd,
1844 /* 0110 1010 1111 */ &lmo_rs_rd,
1845 
1846 /* 0110 1011 0000 */ &lmo_rs_rd,
1847 /* 0110 1011 0001 */ &lmo_rs_rd,
1848 /* 0110 1011 0010 */ &lmo_rs_rd,
1849 /* 0110 1011 0011 */ &lmo_rs_rd,
1850 /* 0110 1011 0100 */ &lmo_rs_rd,
1851 /* 0110 1011 0101 */ &lmo_rs_rd,
1852 /* 0110 1011 0110 */ &lmo_rs_rd,
1853 /* 0110 1011 0111 */ &lmo_rs_rd,
1854 /* 0110 1011 1000 */ &lmo_rs_rd,
1855 /* 0110 1011 1001 */ &lmo_rs_rd,
1856 /* 0110 1011 1010 */ &lmo_rs_rd,
1857 /* 0110 1011 1011 */ &lmo_rs_rd,
1858 /* 0110 1011 1100 */ &lmo_rs_rd,
1859 /* 0110 1011 1101 */ &lmo_rs_rd,
1860 /* 0110 1011 1110 */ &lmo_rs_rd,
1861 /* 0110 1011 1111 */ &lmo_rs_rd,
1862 
1863 /* 0110 1100 0000 */ &mods_rs_rd,
1864 /* 0110 1100 0001 */ &mods_rs_rd,
1865 /* 0110 1100 0010 */ &mods_rs_rd,
1866 /* 0110 1100 0011 */ &mods_rs_rd,
1867 /* 0110 1100 0100 */ &mods_rs_rd,
1868 /* 0110 1100 0101 */ &mods_rs_rd,
1869 /* 0110 1100 0110 */ &mods_rs_rd,
1870 /* 0110 1100 0111 */ &mods_rs_rd,
1871 /* 0110 1100 1000 */ &mods_rs_rd,
1872 /* 0110 1100 1001 */ &mods_rs_rd,
1873 /* 0110 1100 1010 */ &mods_rs_rd,
1874 /* 0110 1100 1011 */ &mods_rs_rd,
1875 /* 0110 1100 1100 */ &mods_rs_rd,
1876 /* 0110 1100 1101 */ &mods_rs_rd,
1877 /* 0110 1100 1110 */ &mods_rs_rd,
1878 /* 0110 1100 1111 */ &mods_rs_rd,
1879 
1880 /* 0110 1101 0000 */ &mods_rs_rd,
1881 /* 0110 1101 0001 */ &mods_rs_rd,
1882 /* 0110 1101 0010 */ &mods_rs_rd,
1883 /* 0110 1101 0011 */ &mods_rs_rd,
1884 /* 0110 1101 0100 */ &mods_rs_rd,
1885 /* 0110 1101 0101 */ &mods_rs_rd,
1886 /* 0110 1101 0110 */ &mods_rs_rd,
1887 /* 0110 1101 0111 */ &mods_rs_rd,
1888 /* 0110 1101 1000 */ &mods_rs_rd,
1889 /* 0110 1101 1001 */ &mods_rs_rd,
1890 /* 0110 1101 1010 */ &mods_rs_rd,
1891 /* 0110 1101 1011 */ &mods_rs_rd,
1892 /* 0110 1101 1100 */ &mods_rs_rd,
1893 /* 0110 1101 1101 */ &mods_rs_rd,
1894 /* 0110 1101 1110 */ &mods_rs_rd,
1895 /* 0110 1101 1111 */ &mods_rs_rd,
1896 
1897 /* 0110 1110 0000 */ &modu_rs_rd,
1898 /* 0110 1110 0001 */ &modu_rs_rd,
1899 /* 0110 1110 0010 */ &modu_rs_rd,
1900 /* 0110 1110 0011 */ &modu_rs_rd,
1901 /* 0110 1110 0100 */ &modu_rs_rd,
1902 /* 0110 1110 0101 */ &modu_rs_rd,
1903 /* 0110 1110 0110 */ &modu_rs_rd,
1904 /* 0110 1110 0111 */ &modu_rs_rd,
1905 /* 0110 1110 1000 */ &modu_rs_rd,
1906 /* 0110 1110 1001 */ &modu_rs_rd,
1907 /* 0110 1110 1010 */ &modu_rs_rd,
1908 /* 0110 1110 1011 */ &modu_rs_rd,
1909 /* 0110 1110 1100 */ &modu_rs_rd,
1910 /* 0110 1110 1101 */ &modu_rs_rd,
1911 /* 0110 1110 1110 */ &modu_rs_rd,
1912 /* 0110 1110 1111 */ &modu_rs_rd,
1913 
1914 /* 0110 1111 0000 */ &modu_rs_rd,
1915 /* 0110 1111 0001 */ &modu_rs_rd,
1916 /* 0110 1111 0010 */ &modu_rs_rd,
1917 /* 0110 1111 0011 */ &modu_rs_rd,
1918 /* 0110 1111 0100 */ &modu_rs_rd,
1919 /* 0110 1111 0101 */ &modu_rs_rd,
1920 /* 0110 1111 0110 */ &modu_rs_rd,
1921 /* 0110 1111 0111 */ &modu_rs_rd,
1922 /* 0110 1111 1000 */ &modu_rs_rd,
1923 /* 0110 1111 1001 */ &modu_rs_rd,
1924 /* 0110 1111 1010 */ &modu_rs_rd,
1925 /* 0110 1111 1011 */ &modu_rs_rd,
1926 /* 0110 1111 1100 */ &modu_rs_rd,
1927 /* 0110 1111 1101 */ &modu_rs_rd,
1928 /* 0110 1111 1110 */ &modu_rs_rd,
1929 /* 0110 1111 1111 */ &modu_rs_rd,
1930 
1931 /* 0111 0000 0000 */ &unimplemented,
1932 /* 0111 0000 0001 */ &unimplemented,
1933 /* 0111 0000 0010 */ &unimplemented,
1934 /* 0111 0000 0011 */ &unimplemented,
1935 /* 0111 0000 0100 */ &unimplemented,
1936 /* 0111 0000 0101 */ &unimplemented,
1937 /* 0111 0000 0110 */ &unimplemented,
1938 /* 0111 0000 0111 */ &unimplemented,
1939 /* 0111 0000 1000 */ &unimplemented,
1940 /* 0111 0000 1001 */ &unimplemented,
1941 /* 0111 0000 1010 */ &unimplemented,
1942 /* 0111 0000 1011 */ &unimplemented,
1943 /* 0111 0000 1100 */ &unimplemented,
1944 /* 0111 0000 1101 */ &unimplemented,
1945 /* 0111 0000 1110 */ &unimplemented,
1946 /* 0111 0000 1111 */ &unimplemented,
1947 
1948 /* 0111 0001 0000 */ &unimplemented,
1949 /* 0111 0001 0001 */ &unimplemented,
1950 /* 0111 0001 0010 */ &unimplemented,
1951 /* 0111 0001 0011 */ &unimplemented,
1952 /* 0111 0001 0100 */ &unimplemented,
1953 /* 0111 0001 0101 */ &unimplemented,
1954 /* 0111 0001 0110 */ &unimplemented,
1955 /* 0111 0001 0111 */ &unimplemented,
1956 /* 0111 0001 1000 */ &unimplemented,
1957 /* 0111 0001 1001 */ &unimplemented,
1958 /* 0111 0001 1010 */ &unimplemented,
1959 /* 0111 0001 1011 */ &unimplemented,
1960 /* 0111 0001 1100 */ &unimplemented,
1961 /* 0111 0001 1101 */ &unimplemented,
1962 /* 0111 0001 1110 */ &unimplemented,
1963 /* 0111 0001 1111 */ &unimplemented,
1964 
1965 /* 0111 0010 0000 */ &unimplemented,
1966 /* 0111 0010 0001 */ &unimplemented,
1967 /* 0111 0010 0010 */ &unimplemented,
1968 /* 0111 0010 0011 */ &unimplemented,
1969 /* 0111 0010 0100 */ &unimplemented,
1970 /* 0111 0010 0101 */ &unimplemented,
1971 /* 0111 0010 0110 */ &unimplemented,
1972 /* 0111 0010 0111 */ &unimplemented,
1973 /* 0111 0010 1000 */ &unimplemented,
1974 /* 0111 0010 1001 */ &unimplemented,
1975 /* 0111 0010 1010 */ &unimplemented,
1976 /* 0111 0010 1011 */ &unimplemented,
1977 /* 0111 0010 1100 */ &unimplemented,
1978 /* 0111 0010 1101 */ &unimplemented,
1979 /* 0111 0010 1110 */ &unimplemented,
1980 /* 0111 0010 1111 */ &unimplemented,
1981 
1982 /* 0111 0011 0000 */ &unimplemented,
1983 /* 0111 0011 0001 */ &unimplemented,
1984 /* 0111 0011 0010 */ &unimplemented,
1985 /* 0111 0011 0011 */ &unimplemented,
1986 /* 0111 0011 0100 */ &unimplemented,
1987 /* 0111 0011 0101 */ &unimplemented,
1988 /* 0111 0011 0110 */ &unimplemented,
1989 /* 0111 0011 0111 */ &unimplemented,
1990 /* 0111 0011 1000 */ &unimplemented,
1991 /* 0111 0011 1001 */ &unimplemented,
1992 /* 0111 0011 1010 */ &unimplemented,
1993 /* 0111 0011 1011 */ &unimplemented,
1994 /* 0111 0011 1100 */ &unimplemented,
1995 /* 0111 0011 1101 */ &unimplemented,
1996 /* 0111 0011 1110 */ &unimplemented,
1997 /* 0111 0011 1111 */ &unimplemented,
1998 
1999 /* 0111 0100 0000 */ &unimplemented,
2000 /* 0111 0100 0001 */ &unimplemented,
2001 /* 0111 0100 0010 */ &unimplemented,
2002 /* 0111 0100 0011 */ &unimplemented,
2003 /* 0111 0100 0100 */ &unimplemented,
2004 /* 0111 0100 0101 */ &unimplemented,
2005 /* 0111 0100 0110 */ &unimplemented,
2006 /* 0111 0100 0111 */ &unimplemented,
2007 /* 0111 0100 1000 */ &unimplemented,
2008 /* 0111 0100 1001 */ &unimplemented,
2009 /* 0111 0100 1010 */ &unimplemented,
2010 /* 0111 0100 1011 */ &unimplemented,
2011 /* 0111 0100 1100 */ &unimplemented,
2012 /* 0111 0100 1101 */ &unimplemented,
2013 /* 0111 0100 1110 */ &unimplemented,
2014 /* 0111 0100 1111 */ &unimplemented,
2015 
2016 /* 0111 0101 0000 */ &unimplemented,
2017 /* 0111 0101 0001 */ &unimplemented,
2018 /* 0111 0101 0010 */ &unimplemented,
2019 /* 0111 0101 0011 */ &unimplemented,
2020 /* 0111 0101 0100 */ &unimplemented,
2021 /* 0111 0101 0101 */ &unimplemented,
2022 /* 0111 0101 0110 */ &unimplemented,
2023 /* 0111 0101 0111 */ &unimplemented,
2024 /* 0111 0101 1000 */ &unimplemented,
2025 /* 0111 0101 1001 */ &unimplemented,
2026 /* 0111 0101 1010 */ &unimplemented,
2027 /* 0111 0101 1011 */ &unimplemented,
2028 /* 0111 0101 1100 */ &unimplemented,
2029 /* 0111 0101 1101 */ &unimplemented,
2030 /* 0111 0101 1110 */ &unimplemented,
2031 /* 0111 0101 1111 */ &unimplemented,
2032 
2033 /* 0111 0110 0000 */ &unimplemented,
2034 /* 0111 0110 0001 */ &unimplemented,
2035 /* 0111 0110 0010 */ &unimplemented,
2036 /* 0111 0110 0011 */ &unimplemented,
2037 /* 0111 0110 0100 */ &unimplemented,
2038 /* 0111 0110 0101 */ &unimplemented,
2039 /* 0111 0110 0110 */ &unimplemented,
2040 /* 0111 0110 0111 */ &unimplemented,
2041 /* 0111 0110 1000 */ &unimplemented,
2042 /* 0111 0110 1001 */ &unimplemented,
2043 /* 0111 0110 1010 */ &unimplemented,
2044 /* 0111 0110 1011 */ &unimplemented,
2045 /* 0111 0110 1100 */ &unimplemented,
2046 /* 0111 0110 1101 */ &unimplemented,
2047 /* 0111 0110 1110 */ &unimplemented,
2048 /* 0111 0110 1111 */ &unimplemented,
2049 
2050 /* 0111 0111 0000 */ &unimplemented,
2051 /* 0111 0111 0001 */ &unimplemented,
2052 /* 0111 0111 0010 */ &unimplemented,
2053 /* 0111 0111 0011 */ &unimplemented,
2054 /* 0111 0111 0100 */ &unimplemented,
2055 /* 0111 0111 0101 */ &unimplemented,
2056 /* 0111 0111 0110 */ &unimplemented,
2057 /* 0111 0111 0111 */ &unimplemented,
2058 /* 0111 0111 1000 */ &unimplemented,
2059 /* 0111 0111 1001 */ &unimplemented,
2060 /* 0111 0111 1010 */ &unimplemented,
2061 /* 0111 0111 1011 */ &unimplemented,
2062 /* 0111 0111 1100 */ &unimplemented,
2063 /* 0111 0111 1101 */ &unimplemented,
2064 /* 0111 0111 1110 */ &unimplemented,
2065 /* 0111 0111 1111 */ &unimplemented,
2066 
2067 /* 0111 1000 0000 */ &unimplemented,
2068 /* 0111 1000 0001 */ &unimplemented,
2069 /* 0111 1000 0010 */ &unimplemented,
2070 /* 0111 1000 0011 */ &unimplemented,
2071 /* 0111 1000 0100 */ &unimplemented,
2072 /* 0111 1000 0101 */ &unimplemented,
2073 /* 0111 1000 0110 */ &unimplemented,
2074 /* 0111 1000 0111 */ &unimplemented,
2075 /* 0111 1000 1000 */ &unimplemented,
2076 /* 0111 1000 1001 */ &unimplemented,
2077 /* 0111 1000 1010 */ &unimplemented,
2078 /* 0111 1000 1011 */ &unimplemented,
2079 /* 0111 1000 1100 */ &unimplemented,
2080 /* 0111 1000 1101 */ &unimplemented,
2081 /* 0111 1000 1110 */ &unimplemented,
2082 /* 0111 1000 1111 */ &unimplemented,
2083 
2084 /* 0111 1001 0000 */ &unimplemented,
2085 /* 0111 1001 0001 */ &unimplemented,
2086 /* 0111 1001 0010 */ &unimplemented,
2087 /* 0111 1001 0011 */ &unimplemented,
2088 /* 0111 1001 0100 */ &unimplemented,
2089 /* 0111 1001 0101 */ &unimplemented,
2090 /* 0111 1001 0110 */ &unimplemented,
2091 /* 0111 1001 0111 */ &unimplemented,
2092 /* 0111 1001 1000 */ &unimplemented,
2093 /* 0111 1001 1001 */ &unimplemented,
2094 /* 0111 1001 1010 */ &unimplemented,
2095 /* 0111 1001 1011 */ &unimplemented,
2096 /* 0111 1001 1100 */ &unimplemented,
2097 /* 0111 1001 1101 */ &unimplemented,
2098 /* 0111 1001 1110 */ &unimplemented,
2099 /* 0111 1001 1111 */ &unimplemented,
2100 
2101 /* 0111 1010 0000 */ &unimplemented,
2102 /* 0111 1010 0001 */ &unimplemented,
2103 /* 0111 1010 0010 */ &unimplemented,
2104 /* 0111 1010 0011 */ &unimplemented,
2105 /* 0111 1010 0100 */ &unimplemented,
2106 /* 0111 1010 0101 */ &unimplemented,
2107 /* 0111 1010 0110 */ &unimplemented,
2108 /* 0111 1010 0111 */ &unimplemented,
2109 /* 0111 1010 1000 */ &unimplemented,
2110 /* 0111 1010 1001 */ &unimplemented,
2111 /* 0111 1010 1010 */ &unimplemented,
2112 /* 0111 1010 1011 */ &unimplemented,
2113 /* 0111 1010 1100 */ &unimplemented,
2114 /* 0111 1010 1101 */ &unimplemented,
2115 /* 0111 1010 1110 */ &unimplemented,
2116 /* 0111 1010 1111 */ &unimplemented,
2117 
2118 /* 0111 1011 0000 */ &unimplemented,
2119 /* 0111 1011 0001 */ &unimplemented,
2120 /* 0111 1011 0010 */ &unimplemented,
2121 /* 0111 1011 0011 */ &unimplemented,
2122 /* 0111 1011 0100 */ &unimplemented,
2123 /* 0111 1011 0101 */ &unimplemented,
2124 /* 0111 1011 0110 */ &unimplemented,
2125 /* 0111 1011 0111 */ &unimplemented,
2126 /* 0111 1011 1000 */ &unimplemented,
2127 /* 0111 1011 1001 */ &unimplemented,
2128 /* 0111 1011 1010 */ &unimplemented,
2129 /* 0111 1011 1011 */ &unimplemented,
2130 /* 0111 1011 1100 */ &unimplemented,
2131 /* 0111 1011 1101 */ &unimplemented,
2132 /* 0111 1011 1110 */ &unimplemented,
2133 /* 0111 1011 1111 */ &unimplemented,
2134 
2135 /* 0111 1100 0000 */ &unimplemented,
2136 /* 0111 1100 0001 */ &unimplemented,
2137 /* 0111 1100 0010 */ &unimplemented,
2138 /* 0111 1100 0011 */ &unimplemented,
2139 /* 0111 1100 0100 */ &unimplemented,
2140 /* 0111 1100 0101 */ &unimplemented,
2141 /* 0111 1100 0110 */ &unimplemented,
2142 /* 0111 1100 0111 */ &unimplemented,
2143 /* 0111 1100 1000 */ &unimplemented,
2144 /* 0111 1100 1001 */ &unimplemented,
2145 /* 0111 1100 1010 */ &unimplemented,
2146 /* 0111 1100 1011 */ &unimplemented,
2147 /* 0111 1100 1100 */ &unimplemented,
2148 /* 0111 1100 1101 */ &unimplemented,
2149 /* 0111 1100 1110 */ &unimplemented,
2150 /* 0111 1100 1111 */ &unimplemented,
2151 
2152 /* 0111 1101 0000 */ &unimplemented,
2153 /* 0111 1101 0001 */ &unimplemented,
2154 /* 0111 1101 0010 */ &unimplemented,
2155 /* 0111 1101 0011 */ &unimplemented,
2156 /* 0111 1101 0100 */ &unimplemented,
2157 /* 0111 1101 0101 */ &unimplemented,
2158 /* 0111 1101 0110 */ &unimplemented,
2159 /* 0111 1101 0111 */ &unimplemented,
2160 /* 0111 1101 1000 */ &unimplemented,
2161 /* 0111 1101 1001 */ &unimplemented,
2162 /* 0111 1101 1010 */ &unimplemented,
2163 /* 0111 1101 1011 */ &unimplemented,
2164 /* 0111 1101 1100 */ &unimplemented,
2165 /* 0111 1101 1101 */ &unimplemented,
2166 /* 0111 1101 1110 */ &unimplemented,
2167 /* 0111 1101 1111 */ &unimplemented,
2168 
2169 /* 0111 1110 0000 */ &unimplemented,
2170 /* 0111 1110 0001 */ &unimplemented,
2171 /* 0111 1110 0010 */ &unimplemented,
2172 /* 0111 1110 0011 */ &unimplemented,
2173 /* 0111 1110 0100 */ &unimplemented,
2174 /* 0111 1110 0101 */ &unimplemented,
2175 /* 0111 1110 0110 */ &unimplemented,
2176 /* 0111 1110 0111 */ &unimplemented,
2177 /* 0111 1110 1000 */ &unimplemented,
2178 /* 0111 1110 1001 */ &unimplemented,
2179 /* 0111 1110 1010 */ &unimplemented,
2180 /* 0111 1110 1011 */ &unimplemented,
2181 /* 0111 1110 1100 */ &unimplemented,
2182 /* 0111 1110 1101 */ &unimplemented,
2183 /* 0111 1110 1110 */ &unimplemented,
2184 /* 0111 1110 1111 */ &unimplemented,
2185 
2186 /* 0111 1111 0000 */ &unimplemented,
2187 /* 0111 1111 0001 */ &unimplemented,
2188 /* 0111 1111 0010 */ &unimplemented,
2189 /* 0111 1111 0011 */ &unimplemented,
2190 /* 0111 1111 0100 */ &unimplemented,
2191 /* 0111 1111 0101 */ &unimplemented,
2192 /* 0111 1111 0110 */ &unimplemented,
2193 /* 0111 1111 0111 */ &unimplemented,
2194 /* 0111 1111 1000 */ &unimplemented,
2195 /* 0111 1111 1001 */ &unimplemented,
2196 /* 0111 1111 1010 */ &unimplemented,
2197 /* 0111 1111 1011 */ &unimplemented,
2198 /* 0111 1111 1100 */ &unimplemented,
2199 /* 0111 1111 1101 */ &unimplemented,
2200 /* 0111 1111 1110 */ &unimplemented,
2201 /* 0111 1111 1111 */ &unimplemented,
2202 
2203 /* 1000 0000 0000 */ &move_rs_ird_0,
2204 /* 1000 0000 0001 */ &move_rs_ird_0,
2205 /* 1000 0000 0010 */ &move_rs_ird_0,
2206 /* 1000 0000 0011 */ &move_rs_ird_0,
2207 /* 1000 0000 0100 */ &move_rs_ird_0,
2208 /* 1000 0000 0101 */ &move_rs_ird_0,
2209 /* 1000 0000 0110 */ &move_rs_ird_0,
2210 /* 1000 0000 0111 */ &move_rs_ird_0,
2211 /* 1000 0000 1000 */ &move_rs_ird_0,
2212 /* 1000 0000 1001 */ &move_rs_ird_0,
2213 /* 1000 0000 1010 */ &move_rs_ird_0,
2214 /* 1000 0000 1011 */ &move_rs_ird_0,
2215 /* 1000 0000 1100 */ &move_rs_ird_0,
2216 /* 1000 0000 1101 */ &move_rs_ird_0,
2217 /* 1000 0000 1110 */ &move_rs_ird_0,
2218 /* 1000 0000 1111 */ &move_rs_ird_0,
2219 
2220 /* 1000 0001 0000 */ &move_rs_ird_0,
2221 /* 1000 0001 0001 */ &move_rs_ird_0,
2222 /* 1000 0001 0010 */ &move_rs_ird_0,
2223 /* 1000 0001 0011 */ &move_rs_ird_0,
2224 /* 1000 0001 0100 */ &move_rs_ird_0,
2225 /* 1000 0001 0101 */ &move_rs_ird_0,
2226 /* 1000 0001 0110 */ &move_rs_ird_0,
2227 /* 1000 0001 0111 */ &move_rs_ird_0,
2228 /* 1000 0001 1000 */ &move_rs_ird_0,
2229 /* 1000 0001 1001 */ &move_rs_ird_0,
2230 /* 1000 0001 1010 */ &move_rs_ird_0,
2231 /* 1000 0001 1011 */ &move_rs_ird_0,
2232 /* 1000 0001 1100 */ &move_rs_ird_0,
2233 /* 1000 0001 1101 */ &move_rs_ird_0,
2234 /* 1000 0001 1110 */ &move_rs_ird_0,
2235 /* 1000 0001 1111 */ &move_rs_ird_0,
2236 
2237 /* 1000 0010 0000 */ &move_rs_ird_1,
2238 /* 1000 0010 0001 */ &move_rs_ird_1,
2239 /* 1000 0010 0010 */ &move_rs_ird_1,
2240 /* 1000 0010 0011 */ &move_rs_ird_1,
2241 /* 1000 0010 0100 */ &move_rs_ird_1,
2242 /* 1000 0010 0101 */ &move_rs_ird_1,
2243 /* 1000 0010 0110 */ &move_rs_ird_1,
2244 /* 1000 0010 0111 */ &move_rs_ird_1,
2245 /* 1000 0010 1000 */ &move_rs_ird_1,
2246 /* 1000 0010 1001 */ &move_rs_ird_1,
2247 /* 1000 0010 1010 */ &move_rs_ird_1,
2248 /* 1000 0010 1011 */ &move_rs_ird_1,
2249 /* 1000 0010 1100 */ &move_rs_ird_1,
2250 /* 1000 0010 1101 */ &move_rs_ird_1,
2251 /* 1000 0010 1110 */ &move_rs_ird_1,
2252 /* 1000 0010 1111 */ &move_rs_ird_1,
2253 
2254 /* 1000 0011 0000 */ &move_rs_ird_1,
2255 /* 1000 0011 0001 */ &move_rs_ird_1,
2256 /* 1000 0011 0010 */ &move_rs_ird_1,
2257 /* 1000 0011 0011 */ &move_rs_ird_1,
2258 /* 1000 0011 0100 */ &move_rs_ird_1,
2259 /* 1000 0011 0101 */ &move_rs_ird_1,
2260 /* 1000 0011 0110 */ &move_rs_ird_1,
2261 /* 1000 0011 0111 */ &move_rs_ird_1,
2262 /* 1000 0011 1000 */ &move_rs_ird_1,
2263 /* 1000 0011 1001 */ &move_rs_ird_1,
2264 /* 1000 0011 1010 */ &move_rs_ird_1,
2265 /* 1000 0011 1011 */ &move_rs_ird_1,
2266 /* 1000 0011 1100 */ &move_rs_ird_1,
2267 /* 1000 0011 1101 */ &move_rs_ird_1,
2268 /* 1000 0011 1110 */ &move_rs_ird_1,
2269 /* 1000 0011 1111 */ &move_rs_ird_1,
2270 
2271 /* 1000 0100 0000 */ &move_irs_rd_0,
2272 /* 1000 0100 0001 */ &move_irs_rd_0,
2273 /* 1000 0100 0010 */ &move_irs_rd_0,
2274 /* 1000 0100 0011 */ &move_irs_rd_0,
2275 /* 1000 0100 0100 */ &move_irs_rd_0,
2276 /* 1000 0100 0101 */ &move_irs_rd_0,
2277 /* 1000 0100 0110 */ &move_irs_rd_0,
2278 /* 1000 0100 0111 */ &move_irs_rd_0,
2279 /* 1000 0100 1000 */ &move_irs_rd_0,
2280 /* 1000 0100 1001 */ &move_irs_rd_0,
2281 /* 1000 0100 1010 */ &move_irs_rd_0,
2282 /* 1000 0100 1011 */ &move_irs_rd_0,
2283 /* 1000 0100 1100 */ &move_irs_rd_0,
2284 /* 1000 0100 1101 */ &move_irs_rd_0,
2285 /* 1000 0100 1110 */ &move_irs_rd_0,
2286 /* 1000 0100 1111 */ &move_irs_rd_0,
2287 
2288 /* 1000 0101 0000 */ &move_irs_rd_0,
2289 /* 1000 0101 0001 */ &move_irs_rd_0,
2290 /* 1000 0101 0010 */ &move_irs_rd_0,
2291 /* 1000 0101 0011 */ &move_irs_rd_0,
2292 /* 1000 0101 0100 */ &move_irs_rd_0,
2293 /* 1000 0101 0101 */ &move_irs_rd_0,
2294 /* 1000 0101 0110 */ &move_irs_rd_0,
2295 /* 1000 0101 0111 */ &move_irs_rd_0,
2296 /* 1000 0101 1000 */ &move_irs_rd_0,
2297 /* 1000 0101 1001 */ &move_irs_rd_0,
2298 /* 1000 0101 1010 */ &move_irs_rd_0,
2299 /* 1000 0101 1011 */ &move_irs_rd_0,
2300 /* 1000 0101 1100 */ &move_irs_rd_0,
2301 /* 1000 0101 1101 */ &move_irs_rd_0,
2302 /* 1000 0101 1110 */ &move_irs_rd_0,
2303 /* 1000 0101 1111 */ &move_irs_rd_0,
2304 
2305 /* 1000 0110 0000 */ &move_irs_rd_1,
2306 /* 1000 0110 0001 */ &move_irs_rd_1,
2307 /* 1000 0110 0010 */ &move_irs_rd_1,
2308 /* 1000 0110 0011 */ &move_irs_rd_1,
2309 /* 1000 0110 0100 */ &move_irs_rd_1,
2310 /* 1000 0110 0101 */ &move_irs_rd_1,
2311 /* 1000 0110 0110 */ &move_irs_rd_1,
2312 /* 1000 0110 0111 */ &move_irs_rd_1,
2313 /* 1000 0110 1000 */ &move_irs_rd_1,
2314 /* 1000 0110 1001 */ &move_irs_rd_1,
2315 /* 1000 0110 1010 */ &move_irs_rd_1,
2316 /* 1000 0110 1011 */ &move_irs_rd_1,
2317 /* 1000 0110 1100 */ &move_irs_rd_1,
2318 /* 1000 0110 1101 */ &move_irs_rd_1,
2319 /* 1000 0110 1110 */ &move_irs_rd_1,
2320 /* 1000 0110 1111 */ &move_irs_rd_1,
2321 
2322 /* 1000 0111 0000 */ &move_irs_rd_1,
2323 /* 1000 0111 0001 */ &move_irs_rd_1,
2324 /* 1000 0111 0010 */ &move_irs_rd_1,
2325 /* 1000 0111 0011 */ &move_irs_rd_1,
2326 /* 1000 0111 0100 */ &move_irs_rd_1,
2327 /* 1000 0111 0101 */ &move_irs_rd_1,
2328 /* 1000 0111 0110 */ &move_irs_rd_1,
2329 /* 1000 0111 0111 */ &move_irs_rd_1,
2330 /* 1000 0111 1000 */ &move_irs_rd_1,
2331 /* 1000 0111 1001 */ &move_irs_rd_1,
2332 /* 1000 0111 1010 */ &move_irs_rd_1,
2333 /* 1000 0111 1011 */ &move_irs_rd_1,
2334 /* 1000 0111 1100 */ &move_irs_rd_1,
2335 /* 1000 0111 1101 */ &move_irs_rd_1,
2336 /* 1000 0111 1110 */ &move_irs_rd_1,
2337 /* 1000 0111 1111 */ &move_irs_rd_1,
2338 
2339 /* 1000 1000 0000 */ &move_irs_ird_0,
2340 /* 1000 1000 0001 */ &move_irs_ird_0,
2341 /* 1000 1000 0010 */ &move_irs_ird_0,
2342 /* 1000 1000 0011 */ &move_irs_ird_0,
2343 /* 1000 1000 0100 */ &move_irs_ird_0,
2344 /* 1000 1000 0101 */ &move_irs_ird_0,
2345 /* 1000 1000 0110 */ &move_irs_ird_0,
2346 /* 1000 1000 0111 */ &move_irs_ird_0,
2347 /* 1000 1000 1000 */ &move_irs_ird_0,
2348 /* 1000 1000 1001 */ &move_irs_ird_0,
2349 /* 1000 1000 1010 */ &move_irs_ird_0,
2350 /* 1000 1000 1011 */ &move_irs_ird_0,
2351 /* 1000 1000 1100 */ &move_irs_ird_0,
2352 /* 1000 1000 1101 */ &move_irs_ird_0,
2353 /* 1000 1000 1110 */ &move_irs_ird_0,
2354 /* 1000 1000 1111 */ &move_irs_ird_0,
2355 
2356 /* 1000 1001 0000 */ &move_irs_ird_0,
2357 /* 1000 1001 0001 */ &move_irs_ird_0,
2358 /* 1000 1001 0010 */ &move_irs_ird_0,
2359 /* 1000 1001 0011 */ &move_irs_ird_0,
2360 /* 1000 1001 0100 */ &move_irs_ird_0,
2361 /* 1000 1001 0101 */ &move_irs_ird_0,
2362 /* 1000 1001 0110 */ &move_irs_ird_0,
2363 /* 1000 1001 0111 */ &move_irs_ird_0,
2364 /* 1000 1001 1000 */ &move_irs_ird_0,
2365 /* 1000 1001 1001 */ &move_irs_ird_0,
2366 /* 1000 1001 1010 */ &move_irs_ird_0,
2367 /* 1000 1001 1011 */ &move_irs_ird_0,
2368 /* 1000 1001 1100 */ &move_irs_ird_0,
2369 /* 1000 1001 1101 */ &move_irs_ird_0,
2370 /* 1000 1001 1110 */ &move_irs_ird_0,
2371 /* 1000 1001 1111 */ &move_irs_ird_0,
2372 
2373 /* 1000 1010 0000 */ &move_irs_ird_1,
2374 /* 1000 1010 0001 */ &move_irs_ird_1,
2375 /* 1000 1010 0010 */ &move_irs_ird_1,
2376 /* 1000 1010 0011 */ &move_irs_ird_1,
2377 /* 1000 1010 0100 */ &move_irs_ird_1,
2378 /* 1000 1010 0101 */ &move_irs_ird_1,
2379 /* 1000 1010 0110 */ &move_irs_ird_1,
2380 /* 1000 1010 0111 */ &move_irs_ird_1,
2381 /* 1000 1010 1000 */ &move_irs_ird_1,
2382 /* 1000 1010 1001 */ &move_irs_ird_1,
2383 /* 1000 1010 1010 */ &move_irs_ird_1,
2384 /* 1000 1010 1011 */ &move_irs_ird_1,
2385 /* 1000 1010 1100 */ &move_irs_ird_1,
2386 /* 1000 1010 1101 */ &move_irs_ird_1,
2387 /* 1000 1010 1110 */ &move_irs_ird_1,
2388 /* 1000 1010 1111 */ &move_irs_ird_1,
2389 
2390 /* 1000 1011 0000 */ &move_irs_ird_1,
2391 /* 1000 1011 0001 */ &move_irs_ird_1,
2392 /* 1000 1011 0010 */ &move_irs_ird_1,
2393 /* 1000 1011 0011 */ &move_irs_ird_1,
2394 /* 1000 1011 0100 */ &move_irs_ird_1,
2395 /* 1000 1011 0101 */ &move_irs_ird_1,
2396 /* 1000 1011 0110 */ &move_irs_ird_1,
2397 /* 1000 1011 0111 */ &move_irs_ird_1,
2398 /* 1000 1011 1000 */ &move_irs_ird_1,
2399 /* 1000 1011 1001 */ &move_irs_ird_1,
2400 /* 1000 1011 1010 */ &move_irs_ird_1,
2401 /* 1000 1011 1011 */ &move_irs_ird_1,
2402 /* 1000 1011 1100 */ &move_irs_ird_1,
2403 /* 1000 1011 1101 */ &move_irs_ird_1,
2404 /* 1000 1011 1110 */ &move_irs_ird_1,
2405 /* 1000 1011 1111 */ &move_irs_ird_1,
2406 
2407 /* 1000 1100 0000 */ &movb_rs_ird,
2408 /* 1000 1100 0001 */ &movb_rs_ird,
2409 /* 1000 1100 0010 */ &movb_rs_ird,
2410 /* 1000 1100 0011 */ &movb_rs_ird,
2411 /* 1000 1100 0100 */ &movb_rs_ird,
2412 /* 1000 1100 0101 */ &movb_rs_ird,
2413 /* 1000 1100 0110 */ &movb_rs_ird,
2414 /* 1000 1100 0111 */ &movb_rs_ird,
2415 /* 1000 1100 1000 */ &movb_rs_ird,
2416 /* 1000 1100 1001 */ &movb_rs_ird,
2417 /* 1000 1100 1010 */ &movb_rs_ird,
2418 /* 1000 1100 1011 */ &movb_rs_ird,
2419 /* 1000 1100 1100 */ &movb_rs_ird,
2420 /* 1000 1100 1101 */ &movb_rs_ird,
2421 /* 1000 1100 1110 */ &movb_rs_ird,
2422 /* 1000 1100 1111 */ &movb_rs_ird,
2423 
2424 /* 1000 1101 0000 */ &movb_rs_ird,
2425 /* 1000 1101 0001 */ &movb_rs_ird,
2426 /* 1000 1101 0010 */ &movb_rs_ird,
2427 /* 1000 1101 0011 */ &movb_rs_ird,
2428 /* 1000 1101 0100 */ &movb_rs_ird,
2429 /* 1000 1101 0101 */ &movb_rs_ird,
2430 /* 1000 1101 0110 */ &movb_rs_ird,
2431 /* 1000 1101 0111 */ &movb_rs_ird,
2432 /* 1000 1101 1000 */ &movb_rs_ird,
2433 /* 1000 1101 1001 */ &movb_rs_ird,
2434 /* 1000 1101 1010 */ &movb_rs_ird,
2435 /* 1000 1101 1011 */ &movb_rs_ird,
2436 /* 1000 1101 1100 */ &movb_rs_ird,
2437 /* 1000 1101 1101 */ &movb_rs_ird,
2438 /* 1000 1101 1110 */ &movb_rs_ird,
2439 /* 1000 1101 1111 */ &movb_rs_ird,
2440 
2441 /* 1000 1110 0000 */ &movb_irs_rd,
2442 /* 1000 1110 0001 */ &movb_irs_rd,
2443 /* 1000 1110 0010 */ &movb_irs_rd,
2444 /* 1000 1110 0011 */ &movb_irs_rd,
2445 /* 1000 1110 0100 */ &movb_irs_rd,
2446 /* 1000 1110 0101 */ &movb_irs_rd,
2447 /* 1000 1110 0110 */ &movb_irs_rd,
2448 /* 1000 1110 0111 */ &movb_irs_rd,
2449 /* 1000 1110 1000 */ &movb_irs_rd,
2450 /* 1000 1110 1001 */ &movb_irs_rd,
2451 /* 1000 1110 1010 */ &movb_irs_rd,
2452 /* 1000 1110 1011 */ &movb_irs_rd,
2453 /* 1000 1110 1100 */ &movb_irs_rd,
2454 /* 1000 1110 1101 */ &movb_irs_rd,
2455 /* 1000 1110 1110 */ &movb_irs_rd,
2456 /* 1000 1110 1111 */ &movb_irs_rd,
2457 
2458 /* 1000 1111 0000 */ &movb_irs_rd,
2459 /* 1000 1111 0001 */ &movb_irs_rd,
2460 /* 1000 1111 0010 */ &movb_irs_rd,
2461 /* 1000 1111 0011 */ &movb_irs_rd,
2462 /* 1000 1111 0100 */ &movb_irs_rd,
2463 /* 1000 1111 0101 */ &movb_irs_rd,
2464 /* 1000 1111 0110 */ &movb_irs_rd,
2465 /* 1000 1111 0111 */ &movb_irs_rd,
2466 /* 1000 1111 1000 */ &movb_irs_rd,
2467 /* 1000 1111 1001 */ &movb_irs_rd,
2468 /* 1000 1111 1010 */ &movb_irs_rd,
2469 /* 1000 1111 1011 */ &movb_irs_rd,
2470 /* 1000 1111 1100 */ &movb_irs_rd,
2471 /* 1000 1111 1101 */ &movb_irs_rd,
2472 /* 1000 1111 1110 */ &movb_irs_rd,
2473 /* 1000 1111 1111 */ &movb_irs_rd,
2474 
2475 /* 1001 0000 0000 */ &move_rs_irdp_0,
2476 /* 1001 0000 0001 */ &move_rs_irdp_0,
2477 /* 1001 0000 0010 */ &move_rs_irdp_0,
2478 /* 1001 0000 0011 */ &move_rs_irdp_0,
2479 /* 1001 0000 0100 */ &move_rs_irdp_0,
2480 /* 1001 0000 0101 */ &move_rs_irdp_0,
2481 /* 1001 0000 0110 */ &move_rs_irdp_0,
2482 /* 1001 0000 0111 */ &move_rs_irdp_0,
2483 /* 1001 0000 1000 */ &move_rs_irdp_0,
2484 /* 1001 0000 1001 */ &move_rs_irdp_0,
2485 /* 1001 0000 1010 */ &move_rs_irdp_0,
2486 /* 1001 0000 1011 */ &move_rs_irdp_0,
2487 /* 1001 0000 1100 */ &move_rs_irdp_0,
2488 /* 1001 0000 1101 */ &move_rs_irdp_0,
2489 /* 1001 0000 1110 */ &move_rs_irdp_0,
2490 /* 1001 0000 1111 */ &move_rs_irdp_0,
2491 
2492 /* 1001 0001 0000 */ &move_rs_irdp_0,
2493 /* 1001 0001 0001 */ &move_rs_irdp_0,
2494 /* 1001 0001 0010 */ &move_rs_irdp_0,
2495 /* 1001 0001 0011 */ &move_rs_irdp_0,
2496 /* 1001 0001 0100 */ &move_rs_irdp_0,
2497 /* 1001 0001 0101 */ &move_rs_irdp_0,
2498 /* 1001 0001 0110 */ &move_rs_irdp_0,
2499 /* 1001 0001 0111 */ &move_rs_irdp_0,
2500 /* 1001 0001 1000 */ &move_rs_irdp_0,
2501 /* 1001 0001 1001 */ &move_rs_irdp_0,
2502 /* 1001 0001 1010 */ &move_rs_irdp_0,
2503 /* 1001 0001 1011 */ &move_rs_irdp_0,
2504 /* 1001 0001 1100 */ &move_rs_irdp_0,
2505 /* 1001 0001 1101 */ &move_rs_irdp_0,
2506 /* 1001 0001 1110 */ &move_rs_irdp_0,
2507 /* 1001 0001 1111 */ &move_rs_irdp_0,
2508 
2509 /* 1001 0010 0000 */ &move_rs_irdp_1,
2510 /* 1001 0010 0001 */ &move_rs_irdp_1,
2511 /* 1001 0010 0010 */ &move_rs_irdp_1,
2512 /* 1001 0010 0011 */ &move_rs_irdp_1,
2513 /* 1001 0010 0100 */ &move_rs_irdp_1,
2514 /* 1001 0010 0101 */ &move_rs_irdp_1,
2515 /* 1001 0010 0110 */ &move_rs_irdp_1,
2516 /* 1001 0010 0111 */ &move_rs_irdp_1,
2517 /* 1001 0010 1000 */ &move_rs_irdp_1,
2518 /* 1001 0010 1001 */ &move_rs_irdp_1,
2519 /* 1001 0010 1010 */ &move_rs_irdp_1,
2520 /* 1001 0010 1011 */ &move_rs_irdp_1,
2521 /* 1001 0010 1100 */ &move_rs_irdp_1,
2522 /* 1001 0010 1101 */ &move_rs_irdp_1,
2523 /* 1001 0010 1110 */ &move_rs_irdp_1,
2524 /* 1001 0010 1111 */ &move_rs_irdp_1,
2525 
2526 /* 1001 0011 0000 */ &move_rs_irdp_1,
2527 /* 1001 0011 0001 */ &move_rs_irdp_1,
2528 /* 1001 0011 0010 */ &move_rs_irdp_1,
2529 /* 1001 0011 0011 */ &move_rs_irdp_1,
2530 /* 1001 0011 0100 */ &move_rs_irdp_1,
2531 /* 1001 0011 0101 */ &move_rs_irdp_1,
2532 /* 1001 0011 0110 */ &move_rs_irdp_1,
2533 /* 1001 0011 0111 */ &move_rs_irdp_1,
2534 /* 1001 0011 1000 */ &move_rs_irdp_1,
2535 /* 1001 0011 1001 */ &move_rs_irdp_1,
2536 /* 1001 0011 1010 */ &move_rs_irdp_1,
2537 /* 1001 0011 1011 */ &move_rs_irdp_1,
2538 /* 1001 0011 1100 */ &move_rs_irdp_1,
2539 /* 1001 0011 1101 */ &move_rs_irdp_1,
2540 /* 1001 0011 1110 */ &move_rs_irdp_1,
2541 /* 1001 0011 1111 */ &move_rs_irdp_1,
2542 
2543 /* 1001 0100 0000 */ &move_irsp_rd_0,
2544 /* 1001 0100 0001 */ &move_irsp_rd_0,
2545 /* 1001 0100 0010 */ &move_irsp_rd_0,
2546 /* 1001 0100 0011 */ &move_irsp_rd_0,
2547 /* 1001 0100 0100 */ &move_irsp_rd_0,
2548 /* 1001 0100 0101 */ &move_irsp_rd_0,
2549 /* 1001 0100 0110 */ &move_irsp_rd_0,
2550 /* 1001 0100 0111 */ &move_irsp_rd_0,
2551 /* 1001 0100 1000 */ &move_irsp_rd_0,
2552 /* 1001 0100 1001 */ &move_irsp_rd_0,
2553 /* 1001 0100 1010 */ &move_irsp_rd_0,
2554 /* 1001 0100 1011 */ &move_irsp_rd_0,
2555 /* 1001 0100 1100 */ &move_irsp_rd_0,
2556 /* 1001 0100 1101 */ &move_irsp_rd_0,
2557 /* 1001 0100 1110 */ &move_irsp_rd_0,
2558 /* 1001 0100 1111 */ &move_irsp_rd_0,
2559 
2560 /* 1001 0101 0000 */ &move_irsp_rd_0,
2561 /* 1001 0101 0001 */ &move_irsp_rd_0,
2562 /* 1001 0101 0010 */ &move_irsp_rd_0,
2563 /* 1001 0101 0011 */ &move_irsp_rd_0,
2564 /* 1001 0101 0100 */ &move_irsp_rd_0,
2565 /* 1001 0101 0101 */ &move_irsp_rd_0,
2566 /* 1001 0101 0110 */ &move_irsp_rd_0,
2567 /* 1001 0101 0111 */ &move_irsp_rd_0,
2568 /* 1001 0101 1000 */ &move_irsp_rd_0,
2569 /* 1001 0101 1001 */ &move_irsp_rd_0,
2570 /* 1001 0101 1010 */ &move_irsp_rd_0,
2571 /* 1001 0101 1011 */ &move_irsp_rd_0,
2572 /* 1001 0101 1100 */ &move_irsp_rd_0,
2573 /* 1001 0101 1101 */ &move_irsp_rd_0,
2574 /* 1001 0101 1110 */ &move_irsp_rd_0,
2575 /* 1001 0101 1111 */ &move_irsp_rd_0,
2576 
2577 /* 1001 0110 0000 */ &move_irsp_rd_1,
2578 /* 1001 0110 0001 */ &move_irsp_rd_1,
2579 /* 1001 0110 0010 */ &move_irsp_rd_1,
2580 /* 1001 0110 0011 */ &move_irsp_rd_1,
2581 /* 1001 0110 0100 */ &move_irsp_rd_1,
2582 /* 1001 0110 0101 */ &move_irsp_rd_1,
2583 /* 1001 0110 0110 */ &move_irsp_rd_1,
2584 /* 1001 0110 0111 */ &move_irsp_rd_1,
2585 /* 1001 0110 1000 */ &move_irsp_rd_1,
2586 /* 1001 0110 1001 */ &move_irsp_rd_1,
2587 /* 1001 0110 1010 */ &move_irsp_rd_1,
2588 /* 1001 0110 1011 */ &move_irsp_rd_1,
2589 /* 1001 0110 1100 */ &move_irsp_rd_1,
2590 /* 1001 0110 1101 */ &move_irsp_rd_1,
2591 /* 1001 0110 1110 */ &move_irsp_rd_1,
2592 /* 1001 0110 1111 */ &move_irsp_rd_1,
2593 
2594 /* 1001 0111 0000 */ &move_irsp_rd_1,
2595 /* 1001 0111 0001 */ &move_irsp_rd_1,
2596 /* 1001 0111 0010 */ &move_irsp_rd_1,
2597 /* 1001 0111 0011 */ &move_irsp_rd_1,
2598 /* 1001 0111 0100 */ &move_irsp_rd_1,
2599 /* 1001 0111 0101 */ &move_irsp_rd_1,
2600 /* 1001 0111 0110 */ &move_irsp_rd_1,
2601 /* 1001 0111 0111 */ &move_irsp_rd_1,
2602 /* 1001 0111 1000 */ &move_irsp_rd_1,
2603 /* 1001 0111 1001 */ &move_irsp_rd_1,
2604 /* 1001 0111 1010 */ &move_irsp_rd_1,
2605 /* 1001 0111 1011 */ &move_irsp_rd_1,
2606 /* 1001 0111 1100 */ &move_irsp_rd_1,
2607 /* 1001 0111 1101 */ &move_irsp_rd_1,
2608 /* 1001 0111 1110 */ &move_irsp_rd_1,
2609 /* 1001 0111 1111 */ &move_irsp_rd_1,
2610 
2611 /* 1001 1000 0000 */ &move_irsp_irdp_0,
2612 /* 1001 1000 0001 */ &move_irsp_irdp_0,
2613 /* 1001 1000 0010 */ &move_irsp_irdp_0,
2614 /* 1001 1000 0011 */ &move_irsp_irdp_0,
2615 /* 1001 1000 0100 */ &move_irsp_irdp_0,
2616 /* 1001 1000 0101 */ &move_irsp_irdp_0,
2617 /* 1001 1000 0110 */ &move_irsp_irdp_0,
2618 /* 1001 1000 0111 */ &move_irsp_irdp_0,
2619 /* 1001 1000 1000 */ &move_irsp_irdp_0,
2620 /* 1001 1000 1001 */ &move_irsp_irdp_0,
2621 /* 1001 1000 1010 */ &move_irsp_irdp_0,
2622 /* 1001 1000 1011 */ &move_irsp_irdp_0,
2623 /* 1001 1000 1100 */ &move_irsp_irdp_0,
2624 /* 1001 1000 1101 */ &move_irsp_irdp_0,
2625 /* 1001 1000 1110 */ &move_irsp_irdp_0,
2626 /* 1001 1000 1111 */ &move_irsp_irdp_0,
2627 
2628 /* 1001 1001 0000 */ &move_irsp_irdp_0,
2629 /* 1001 1001 0001 */ &move_irsp_irdp_0,
2630 /* 1001 1001 0010 */ &move_irsp_irdp_0,
2631 /* 1001 1001 0011 */ &move_irsp_irdp_0,
2632 /* 1001 1001 0100 */ &move_irsp_irdp_0,
2633 /* 1001 1001 0101 */ &move_irsp_irdp_0,
2634 /* 1001 1001 0110 */ &move_irsp_irdp_0,
2635 /* 1001 1001 0111 */ &move_irsp_irdp_0,
2636 /* 1001 1001 1000 */ &move_irsp_irdp_0,
2637 /* 1001 1001 1001 */ &move_irsp_irdp_0,
2638 /* 1001 1001 1010 */ &move_irsp_irdp_0,
2639 /* 1001 1001 1011 */ &move_irsp_irdp_0,
2640 /* 1001 1001 1100 */ &move_irsp_irdp_0,
2641 /* 1001 1001 1101 */ &move_irsp_irdp_0,
2642 /* 1001 1001 1110 */ &move_irsp_irdp_0,
2643 /* 1001 1001 1111 */ &move_irsp_irdp_0,
2644 
2645 /* 1001 1010 0000 */ &move_irsp_irdp_1,
2646 /* 1001 1010 0001 */ &move_irsp_irdp_1,
2647 /* 1001 1010 0010 */ &move_irsp_irdp_1,
2648 /* 1001 1010 0011 */ &move_irsp_irdp_1,
2649 /* 1001 1010 0100 */ &move_irsp_irdp_1,
2650 /* 1001 1010 0101 */ &move_irsp_irdp_1,
2651 /* 1001 1010 0110 */ &move_irsp_irdp_1,
2652 /* 1001 1010 0111 */ &move_irsp_irdp_1,
2653 /* 1001 1010 1000 */ &move_irsp_irdp_1,
2654 /* 1001 1010 1001 */ &move_irsp_irdp_1,
2655 /* 1001 1010 1010 */ &move_irsp_irdp_1,
2656 /* 1001 1010 1011 */ &move_irsp_irdp_1,
2657 /* 1001 1010 1100 */ &move_irsp_irdp_1,
2658 /* 1001 1010 1101 */ &move_irsp_irdp_1,
2659 /* 1001 1010 1110 */ &move_irsp_irdp_1,
2660 /* 1001 1010 1111 */ &move_irsp_irdp_1,
2661 
2662 /* 1001 1011 0000 */ &move_irsp_irdp_1,
2663 /* 1001 1011 0001 */ &move_irsp_irdp_1,
2664 /* 1001 1011 0010 */ &move_irsp_irdp_1,
2665 /* 1001 1011 0011 */ &move_irsp_irdp_1,
2666 /* 1001 1011 0100 */ &move_irsp_irdp_1,
2667 /* 1001 1011 0101 */ &move_irsp_irdp_1,
2668 /* 1001 1011 0110 */ &move_irsp_irdp_1,
2669 /* 1001 1011 0111 */ &move_irsp_irdp_1,
2670 /* 1001 1011 1000 */ &move_irsp_irdp_1,
2671 /* 1001 1011 1001 */ &move_irsp_irdp_1,
2672 /* 1001 1011 1010 */ &move_irsp_irdp_1,
2673 /* 1001 1011 1011 */ &move_irsp_irdp_1,
2674 /* 1001 1011 1100 */ &move_irsp_irdp_1,
2675 /* 1001 1011 1101 */ &move_irsp_irdp_1,
2676 /* 1001 1011 1110 */ &move_irsp_irdp_1,
2677 /* 1001 1011 1111 */ &move_irsp_irdp_1,
2678 
2679 /* 1001 1100 0000 */ &movb_irs_ird,
2680 /* 1001 1100 0001 */ &movb_irs_ird,
2681 /* 1001 1100 0010 */ &movb_irs_ird,
2682 /* 1001 1100 0011 */ &movb_irs_ird,
2683 /* 1001 1100 0100 */ &movb_irs_ird,
2684 /* 1001 1100 0101 */ &movb_irs_ird,
2685 /* 1001 1100 0110 */ &movb_irs_ird,
2686 /* 1001 1100 0111 */ &movb_irs_ird,
2687 /* 1001 1100 1000 */ &movb_irs_ird,
2688 /* 1001 1100 1001 */ &movb_irs_ird,
2689 /* 1001 1100 1010 */ &movb_irs_ird,
2690 /* 1001 1100 1011 */ &movb_irs_ird,
2691 /* 1001 1100 1100 */ &movb_irs_ird,
2692 /* 1001 1100 1101 */ &movb_irs_ird,
2693 /* 1001 1100 1110 */ &movb_irs_ird,
2694 /* 1001 1100 1111 */ &movb_irs_ird,
2695 
2696 /* 1001 1101 0000 */ &movb_irs_ird,
2697 /* 1001 1101 0001 */ &movb_irs_ird,
2698 /* 1001 1101 0010 */ &movb_irs_ird,
2699 /* 1001 1101 0011 */ &movb_irs_ird,
2700 /* 1001 1101 0100 */ &movb_irs_ird,
2701 /* 1001 1101 0101 */ &movb_irs_ird,
2702 /* 1001 1101 0110 */ &movb_irs_ird,
2703 /* 1001 1101 0111 */ &movb_irs_ird,
2704 /* 1001 1101 1000 */ &movb_irs_ird,
2705 /* 1001 1101 1001 */ &movb_irs_ird,
2706 /* 1001 1101 1010 */ &movb_irs_ird,
2707 /* 1001 1101 1011 */ &movb_irs_ird,
2708 /* 1001 1101 1100 */ &movb_irs_ird,
2709 /* 1001 1101 1101 */ &movb_irs_ird,
2710 /* 1001 1101 1110 */ &movb_irs_ird,
2711 /* 1001 1101 1111 */ &movb_irs_ird,
2712 
2713 /* 1001 1110 0000 */ &unimplemented,
2714 /* 1001 1110 0001 */ &unimplemented,
2715 /* 1001 1110 0010 */ &unimplemented,
2716 /* 1001 1110 0011 */ &unimplemented,
2717 /* 1001 1110 0100 */ &unimplemented,
2718 /* 1001 1110 0101 */ &unimplemented,
2719 /* 1001 1110 0110 */ &unimplemented,
2720 /* 1001 1110 0111 */ &unimplemented,
2721 /* 1001 1110 1000 */ &unimplemented,
2722 /* 1001 1110 1001 */ &unimplemented,
2723 /* 1001 1110 1010 */ &unimplemented,
2724 /* 1001 1110 1011 */ &unimplemented,
2725 /* 1001 1110 1100 */ &unimplemented,
2726 /* 1001 1110 1101 */ &unimplemented,
2727 /* 1001 1110 1110 */ &unimplemented,
2728 /* 1001 1110 1111 */ &unimplemented,
2729 
2730 /* 1001 1111 0000 */ &unimplemented,
2731 /* 1001 1111 0001 */ &unimplemented,
2732 /* 1001 1111 0010 */ &unimplemented,
2733 /* 1001 1111 0011 */ &unimplemented,
2734 /* 1001 1111 0100 */ &unimplemented,
2735 /* 1001 1111 0101 */ &unimplemented,
2736 /* 1001 1111 0110 */ &unimplemented,
2737 /* 1001 1111 0111 */ &unimplemented,
2738 /* 1001 1111 1000 */ &unimplemented,
2739 /* 1001 1111 1001 */ &unimplemented,
2740 /* 1001 1111 1010 */ &unimplemented,
2741 /* 1001 1111 1011 */ &unimplemented,
2742 /* 1001 1111 1100 */ &unimplemented,
2743 /* 1001 1111 1101 */ &unimplemented,
2744 /* 1001 1111 1110 */ &unimplemented,
2745 /* 1001 1111 1111 */ &unimplemented,
2746 
2747 /* 1010 0000 0000 */ &move_rs_mird_0,
2748 /* 1010 0000 0001 */ &move_rs_mird_0,
2749 /* 1010 0000 0010 */ &move_rs_mird_0,
2750 /* 1010 0000 0011 */ &move_rs_mird_0,
2751 /* 1010 0000 0100 */ &move_rs_mird_0,
2752 /* 1010 0000 0101 */ &move_rs_mird_0,
2753 /* 1010 0000 0110 */ &move_rs_mird_0,
2754 /* 1010 0000 0111 */ &move_rs_mird_0,
2755 /* 1010 0000 1000 */ &move_rs_mird_0,
2756 /* 1010 0000 1001 */ &move_rs_mird_0,
2757 /* 1010 0000 1010 */ &move_rs_mird_0,
2758 /* 1010 0000 1011 */ &move_rs_mird_0,
2759 /* 1010 0000 1100 */ &move_rs_mird_0,
2760 /* 1010 0000 1101 */ &move_rs_mird_0,
2761 /* 1010 0000 1110 */ &move_rs_mird_0,
2762 /* 1010 0000 1111 */ &move_rs_mird_0,
2763 
2764 /* 1010 0001 0000 */ &move_rs_mird_0,
2765 /* 1010 0001 0001 */ &move_rs_mird_0,
2766 /* 1010 0001 0010 */ &move_rs_mird_0,
2767 /* 1010 0001 0011 */ &move_rs_mird_0,
2768 /* 1010 0001 0100 */ &move_rs_mird_0,
2769 /* 1010 0001 0101 */ &move_rs_mird_0,
2770 /* 1010 0001 0110 */ &move_rs_mird_0,
2771 /* 1010 0001 0111 */ &move_rs_mird_0,
2772 /* 1010 0001 1000 */ &move_rs_mird_0,
2773 /* 1010 0001 1001 */ &move_rs_mird_0,
2774 /* 1010 0001 1010 */ &move_rs_mird_0,
2775 /* 1010 0001 1011 */ &move_rs_mird_0,
2776 /* 1010 0001 1100 */ &move_rs_mird_0,
2777 /* 1010 0001 1101 */ &move_rs_mird_0,
2778 /* 1010 0001 1110 */ &move_rs_mird_0,
2779 /* 1010 0001 1111 */ &move_rs_mird_0,
2780 
2781 /* 1010 0010 0000 */ &move_rs_mird_1,
2782 /* 1010 0010 0001 */ &move_rs_mird_1,
2783 /* 1010 0010 0010 */ &move_rs_mird_1,
2784 /* 1010 0010 0011 */ &move_rs_mird_1,
2785 /* 1010 0010 0100 */ &move_rs_mird_1,
2786 /* 1010 0010 0101 */ &move_rs_mird_1,
2787 /* 1010 0010 0110 */ &move_rs_mird_1,
2788 /* 1010 0010 0111 */ &move_rs_mird_1,
2789 /* 1010 0010 1000 */ &move_rs_mird_1,
2790 /* 1010 0010 1001 */ &move_rs_mird_1,
2791 /* 1010 0010 1010 */ &move_rs_mird_1,
2792 /* 1010 0010 1011 */ &move_rs_mird_1,
2793 /* 1010 0010 1100 */ &move_rs_mird_1,
2794 /* 1010 0010 1101 */ &move_rs_mird_1,
2795 /* 1010 0010 1110 */ &move_rs_mird_1,
2796 /* 1010 0010 1111 */ &move_rs_mird_1,
2797 
2798 /* 1010 0011 0000 */ &move_rs_mird_1,
2799 /* 1010 0011 0001 */ &move_rs_mird_1,
2800 /* 1010 0011 0010 */ &move_rs_mird_1,
2801 /* 1010 0011 0011 */ &move_rs_mird_1,
2802 /* 1010 0011 0100 */ &move_rs_mird_1,
2803 /* 1010 0011 0101 */ &move_rs_mird_1,
2804 /* 1010 0011 0110 */ &move_rs_mird_1,
2805 /* 1010 0011 0111 */ &move_rs_mird_1,
2806 /* 1010 0011 1000 */ &move_rs_mird_1,
2807 /* 1010 0011 1001 */ &move_rs_mird_1,
2808 /* 1010 0011 1010 */ &move_rs_mird_1,
2809 /* 1010 0011 1011 */ &move_rs_mird_1,
2810 /* 1010 0011 1100 */ &move_rs_mird_1,
2811 /* 1010 0011 1101 */ &move_rs_mird_1,
2812 /* 1010 0011 1110 */ &move_rs_mird_1,
2813 /* 1010 0011 1111 */ &move_rs_mird_1,
2814 
2815 /* 1010 0100 0000 */ &move_mirs_rd_0,
2816 /* 1010 0100 0001 */ &move_mirs_rd_0,
2817 /* 1010 0100 0010 */ &move_mirs_rd_0,
2818 /* 1010 0100 0011 */ &move_mirs_rd_0,
2819 /* 1010 0100 0100 */ &move_mirs_rd_0,
2820 /* 1010 0100 0101 */ &move_mirs_rd_0,
2821 /* 1010 0100 0110 */ &move_mirs_rd_0,
2822 /* 1010 0100 0111 */ &move_mirs_rd_0,
2823 /* 1010 0100 1000 */ &move_mirs_rd_0,
2824 /* 1010 0100 1001 */ &move_mirs_rd_0,
2825 /* 1010 0100 1010 */ &move_mirs_rd_0,
2826 /* 1010 0100 1011 */ &move_mirs_rd_0,
2827 /* 1010 0100 1100 */ &move_mirs_rd_0,
2828 /* 1010 0100 1101 */ &move_mirs_rd_0,
2829 /* 1010 0100 1110 */ &move_mirs_rd_0,
2830 /* 1010 0100 1111 */ &move_mirs_rd_0,
2831 
2832 /* 1010 0101 0000 */ &move_mirs_rd_0,
2833 /* 1010 0101 0001 */ &move_mirs_rd_0,
2834 /* 1010 0101 0010 */ &move_mirs_rd_0,
2835 /* 1010 0101 0011 */ &move_mirs_rd_0,
2836 /* 1010 0101 0100 */ &move_mirs_rd_0,
2837 /* 1010 0101 0101 */ &move_mirs_rd_0,
2838 /* 1010 0101 0110 */ &move_mirs_rd_0,
2839 /* 1010 0101 0111 */ &move_mirs_rd_0,
2840 /* 1010 0101 1000 */ &move_mirs_rd_0,
2841 /* 1010 0101 1001 */ &move_mirs_rd_0,
2842 /* 1010 0101 1010 */ &move_mirs_rd_0,
2843 /* 1010 0101 1011 */ &move_mirs_rd_0,
2844 /* 1010 0101 1100 */ &move_mirs_rd_0,
2845 /* 1010 0101 1101 */ &move_mirs_rd_0,
2846 /* 1010 0101 1110 */ &move_mirs_rd_0,
2847 /* 1010 0101 1111 */ &move_mirs_rd_0,
2848 
2849 /* 1010 0110 0000 */ &move_mirs_rd_1,
2850 /* 1010 0110 0001 */ &move_mirs_rd_1,
2851 /* 1010 0110 0010 */ &move_mirs_rd_1,
2852 /* 1010 0110 0011 */ &move_mirs_rd_1,
2853 /* 1010 0110 0100 */ &move_mirs_rd_1,
2854 /* 1010 0110 0101 */ &move_mirs_rd_1,
2855 /* 1010 0110 0110 */ &move_mirs_rd_1,
2856 /* 1010 0110 0111 */ &move_mirs_rd_1,
2857 /* 1010 0110 1000 */ &move_mirs_rd_1,
2858 /* 1010 0110 1001 */ &move_mirs_rd_1,
2859 /* 1010 0110 1010 */ &move_mirs_rd_1,
2860 /* 1010 0110 1011 */ &move_mirs_rd_1,
2861 /* 1010 0110 1100 */ &move_mirs_rd_1,
2862 /* 1010 0110 1101 */ &move_mirs_rd_1,
2863 /* 1010 0110 1110 */ &move_mirs_rd_1,
2864 /* 1010 0110 1111 */ &move_mirs_rd_1,
2865 
2866 /* 1010 0111 0000 */ &move_mirs_rd_1,
2867 /* 1010 0111 0001 */ &move_mirs_rd_1,
2868 /* 1010 0111 0010 */ &move_mirs_rd_1,
2869 /* 1010 0111 0011 */ &move_mirs_rd_1,
2870 /* 1010 0111 0100 */ &move_mirs_rd_1,
2871 /* 1010 0111 0101 */ &move_mirs_rd_1,
2872 /* 1010 0111 0110 */ &move_mirs_rd_1,
2873 /* 1010 0111 0111 */ &move_mirs_rd_1,
2874 /* 1010 0111 1000 */ &move_mirs_rd_1,
2875 /* 1010 0111 1001 */ &move_mirs_rd_1,
2876 /* 1010 0111 1010 */ &move_mirs_rd_1,
2877 /* 1010 0111 1011 */ &move_mirs_rd_1,
2878 /* 1010 0111 1100 */ &move_mirs_rd_1,
2879 /* 1010 0111 1101 */ &move_mirs_rd_1,
2880 /* 1010 0111 1110 */ &move_mirs_rd_1,
2881 /* 1010 0111 1111 */ &move_mirs_rd_1,
2882 
2883 /* 1010 1000 0000 */ &move_mirs_mird_0,
2884 /* 1010 1000 0001 */ &move_mirs_mird_0,
2885 /* 1010 1000 0010 */ &move_mirs_mird_0,
2886 /* 1010 1000 0011 */ &move_mirs_mird_0,
2887 /* 1010 1000 0100 */ &move_mirs_mird_0,
2888 /* 1010 1000 0101 */ &move_mirs_mird_0,
2889 /* 1010 1000 0110 */ &move_mirs_mird_0,
2890 /* 1010 1000 0111 */ &move_mirs_mird_0,
2891 /* 1010 1000 1000 */ &move_mirs_mird_0,
2892 /* 1010 1000 1001 */ &move_mirs_mird_0,
2893 /* 1010 1000 1010 */ &move_mirs_mird_0,
2894 /* 1010 1000 1011 */ &move_mirs_mird_0,
2895 /* 1010 1000 1100 */ &move_mirs_mird_0,
2896 /* 1010 1000 1101 */ &move_mirs_mird_0,
2897 /* 1010 1000 1110 */ &move_mirs_mird_0,
2898 /* 1010 1000 1111 */ &move_mirs_mird_0,
2899 
2900 /* 1010 1001 0000 */ &move_mirs_mird_0,
2901 /* 1010 1001 0001 */ &move_mirs_mird_0,
2902 /* 1010 1001 0010 */ &move_mirs_mird_0,
2903 /* 1010 1001 0011 */ &move_mirs_mird_0,
2904 /* 1010 1001 0100 */ &move_mirs_mird_0,
2905 /* 1010 1001 0101 */ &move_mirs_mird_0,
2906 /* 1010 1001 0110 */ &move_mirs_mird_0,
2907 /* 1010 1001 0111 */ &move_mirs_mird_0,
2908 /* 1010 1001 1000 */ &move_mirs_mird_0,
2909 /* 1010 1001 1001 */ &move_mirs_mird_0,
2910 /* 1010 1001 1010 */ &move_mirs_mird_0,
2911 /* 1010 1001 1011 */ &move_mirs_mird_0,
2912 /* 1010 1001 1100 */ &move_mirs_mird_0,
2913 /* 1010 1001 1101 */ &move_mirs_mird_0,
2914 /* 1010 1001 1110 */ &move_mirs_mird_0,
2915 /* 1010 1001 1111 */ &move_mirs_mird_0,
2916 
2917 /* 1010 1010 0000 */ &move_mirs_mird_1,
2918 /* 1010 1010 0001 */ &move_mirs_mird_1,
2919 /* 1010 1010 0010 */ &move_mirs_mird_1,
2920 /* 1010 1010 0011 */ &move_mirs_mird_1,
2921 /* 1010 1010 0100 */ &move_mirs_mird_1,
2922 /* 1010 1010 0101 */ &move_mirs_mird_1,
2923 /* 1010 1010 0110 */ &move_mirs_mird_1,
2924 /* 1010 1010 0111 */ &move_mirs_mird_1,
2925 /* 1010 1010 1000 */ &move_mirs_mird_1,
2926 /* 1010 1010 1001 */ &move_mirs_mird_1,
2927 /* 1010 1010 1010 */ &move_mirs_mird_1,
2928 /* 1010 1010 1011 */ &move_mirs_mird_1,
2929 /* 1010 1010 1100 */ &move_mirs_mird_1,
2930 /* 1010 1010 1101 */ &move_mirs_mird_1,
2931 /* 1010 1010 1110 */ &move_mirs_mird_1,
2932 /* 1010 1010 1111 */ &move_mirs_mird_1,
2933 
2934 /* 1010 1011 0000 */ &move_mirs_mird_1,
2935 /* 1010 1011 0001 */ &move_mirs_mird_1,
2936 /* 1010 1011 0010 */ &move_mirs_mird_1,
2937 /* 1010 1011 0011 */ &move_mirs_mird_1,
2938 /* 1010 1011 0100 */ &move_mirs_mird_1,
2939 /* 1010 1011 0101 */ &move_mirs_mird_1,
2940 /* 1010 1011 0110 */ &move_mirs_mird_1,
2941 /* 1010 1011 0111 */ &move_mirs_mird_1,
2942 /* 1010 1011 1000 */ &move_mirs_mird_1,
2943 /* 1010 1011 1001 */ &move_mirs_mird_1,
2944 /* 1010 1011 1010 */ &move_mirs_mird_1,
2945 /* 1010 1011 1011 */ &move_mirs_mird_1,
2946 /* 1010 1011 1100 */ &move_mirs_mird_1,
2947 /* 1010 1011 1101 */ &move_mirs_mird_1,
2948 /* 1010 1011 1110 */ &move_mirs_mird_1,
2949 /* 1010 1011 1111 */ &move_mirs_mird_1,
2950 
2951 /* 1010 1100 0000 */ &movb_rs_irdo,
2952 /* 1010 1100 0001 */ &movb_rs_irdo,
2953 /* 1010 1100 0010 */ &movb_rs_irdo,
2954 /* 1010 1100 0011 */ &movb_rs_irdo,
2955 /* 1010 1100 0100 */ &movb_rs_irdo,
2956 /* 1010 1100 0101 */ &movb_rs_irdo,
2957 /* 1010 1100 0110 */ &movb_rs_irdo,
2958 /* 1010 1100 0111 */ &movb_rs_irdo,
2959 /* 1010 1100 1000 */ &movb_rs_irdo,
2960 /* 1010 1100 1001 */ &movb_rs_irdo,
2961 /* 1010 1100 1010 */ &movb_rs_irdo,
2962 /* 1010 1100 1011 */ &movb_rs_irdo,
2963 /* 1010 1100 1100 */ &movb_rs_irdo,
2964 /* 1010 1100 1101 */ &movb_rs_irdo,
2965 /* 1010 1100 1110 */ &movb_rs_irdo,
2966 /* 1010 1100 1111 */ &movb_rs_irdo,
2967 
2968 /* 1010 1101 0000 */ &movb_rs_irdo,
2969 /* 1010 1101 0001 */ &movb_rs_irdo,
2970 /* 1010 1101 0010 */ &movb_rs_irdo,
2971 /* 1010 1101 0011 */ &movb_rs_irdo,
2972 /* 1010 1101 0100 */ &movb_rs_irdo,
2973 /* 1010 1101 0101 */ &movb_rs_irdo,
2974 /* 1010 1101 0110 */ &movb_rs_irdo,
2975 /* 1010 1101 0111 */ &movb_rs_irdo,
2976 /* 1010 1101 1000 */ &movb_rs_irdo,
2977 /* 1010 1101 1001 */ &movb_rs_irdo,
2978 /* 1010 1101 1010 */ &movb_rs_irdo,
2979 /* 1010 1101 1011 */ &movb_rs_irdo,
2980 /* 1010 1101 1100 */ &movb_rs_irdo,
2981 /* 1010 1101 1101 */ &movb_rs_irdo,
2982 /* 1010 1101 1110 */ &movb_rs_irdo,
2983 /* 1010 1101 1111 */ &movb_rs_irdo,
2984 
2985 /* 1010 1110 0000 */ &movb_irso_rd,
2986 /* 1010 1110 0001 */ &movb_irso_rd,
2987 /* 1010 1110 0010 */ &movb_irso_rd,
2988 /* 1010 1110 0011 */ &movb_irso_rd,
2989 /* 1010 1110 0100 */ &movb_irso_rd,
2990 /* 1010 1110 0101 */ &movb_irso_rd,
2991 /* 1010 1110 0110 */ &movb_irso_rd,
2992 /* 1010 1110 0111 */ &movb_irso_rd,
2993 /* 1010 1110 1000 */ &movb_irso_rd,
2994 /* 1010 1110 1001 */ &movb_irso_rd,
2995 /* 1010 1110 1010 */ &movb_irso_rd,
2996 /* 1010 1110 1011 */ &movb_irso_rd,
2997 /* 1010 1110 1100 */ &movb_irso_rd,
2998 /* 1010 1110 1101 */ &movb_irso_rd,
2999 /* 1010 1110 1110 */ &movb_irso_rd,
3000 /* 1010 1110 1111 */ &movb_irso_rd,
3001 
3002 /* 1010 1111 0000 */ &movb_irso_rd,
3003 /* 1010 1111 0001 */ &movb_irso_rd,
3004 /* 1010 1111 0010 */ &movb_irso_rd,
3005 /* 1010 1111 0011 */ &movb_irso_rd,
3006 /* 1010 1111 0100 */ &movb_irso_rd,
3007 /* 1010 1111 0101 */ &movb_irso_rd,
3008 /* 1010 1111 0110 */ &movb_irso_rd,
3009 /* 1010 1111 0111 */ &movb_irso_rd,
3010 /* 1010 1111 1000 */ &movb_irso_rd,
3011 /* 1010 1111 1001 */ &movb_irso_rd,
3012 /* 1010 1111 1010 */ &movb_irso_rd,
3013 /* 1010 1111 1011 */ &movb_irso_rd,
3014 /* 1010 1111 1100 */ &movb_irso_rd,
3015 /* 1010 1111 1101 */ &movb_irso_rd,
3016 /* 1010 1111 1110 */ &movb_irso_rd,
3017 /* 1010 1111 1111 */ &movb_irso_rd,
3018 
3019 /* 1011 0000 0000 */ &move_rs_irdo_0,
3020 /* 1011 0000 0001 */ &move_rs_irdo_0,
3021 /* 1011 0000 0010 */ &move_rs_irdo_0,
3022 /* 1011 0000 0011 */ &move_rs_irdo_0,
3023 /* 1011 0000 0100 */ &move_rs_irdo_0,
3024 /* 1011 0000 0101 */ &move_rs_irdo_0,
3025 /* 1011 0000 0110 */ &move_rs_irdo_0,
3026 /* 1011 0000 0111 */ &move_rs_irdo_0,
3027 /* 1011 0000 1000 */ &move_rs_irdo_0,
3028 /* 1011 0000 1001 */ &move_rs_irdo_0,
3029 /* 1011 0000 1010 */ &move_rs_irdo_0,
3030 /* 1011 0000 1011 */ &move_rs_irdo_0,
3031 /* 1011 0000 1100 */ &move_rs_irdo_0,
3032 /* 1011 0000 1101 */ &move_rs_irdo_0,
3033 /* 1011 0000 1110 */ &move_rs_irdo_0,
3034 /* 1011 0000 1111 */ &move_rs_irdo_0,
3035 
3036 /* 1011 0001 0000 */ &move_rs_irdo_0,
3037 /* 1011 0001 0001 */ &move_rs_irdo_0,
3038 /* 1011 0001 0010 */ &move_rs_irdo_0,
3039 /* 1011 0001 0011 */ &move_rs_irdo_0,
3040 /* 1011 0001 0100 */ &move_rs_irdo_0,
3041 /* 1011 0001 0101 */ &move_rs_irdo_0,
3042 /* 1011 0001 0110 */ &move_rs_irdo_0,
3043 /* 1011 0001 0111 */ &move_rs_irdo_0,
3044 /* 1011 0001 1000 */ &move_rs_irdo_0,
3045 /* 1011 0001 1001 */ &move_rs_irdo_0,
3046 /* 1011 0001 1010 */ &move_rs_irdo_0,
3047 /* 1011 0001 1011 */ &move_rs_irdo_0,
3048 /* 1011 0001 1100 */ &move_rs_irdo_0,
3049 /* 1011 0001 1101 */ &move_rs_irdo_0,
3050 /* 1011 0001 1110 */ &move_rs_irdo_0,
3051 /* 1011 0001 1111 */ &move_rs_irdo_0,
3052 
3053 /* 1011 0010 0000 */ &move_rs_irdo_1,
3054 /* 1011 0010 0001 */ &move_rs_irdo_1,
3055 /* 1011 0010 0010 */ &move_rs_irdo_1,
3056 /* 1011 0010 0011 */ &move_rs_irdo_1,
3057 /* 1011 0010 0100 */ &move_rs_irdo_1,
3058 /* 1011 0010 0101 */ &move_rs_irdo_1,
3059 /* 1011 0010 0110 */ &move_rs_irdo_1,
3060 /* 1011 0010 0111 */ &move_rs_irdo_1,
3061 /* 1011 0010 1000 */ &move_rs_irdo_1,
3062 /* 1011 0010 1001 */ &move_rs_irdo_1,
3063 /* 1011 0010 1010 */ &move_rs_irdo_1,
3064 /* 1011 0010 1011 */ &move_rs_irdo_1,
3065 /* 1011 0010 1100 */ &move_rs_irdo_1,
3066 /* 1011 0010 1101 */ &move_rs_irdo_1,
3067 /* 1011 0010 1110 */ &move_rs_irdo_1,
3068 /* 1011 0010 1111 */ &move_rs_irdo_1,
3069 
3070 /* 1011 0011 0000 */ &move_rs_irdo_1,
3071 /* 1011 0011 0001 */ &move_rs_irdo_1,
3072 /* 1011 0011 0010 */ &move_rs_irdo_1,
3073 /* 1011 0011 0011 */ &move_rs_irdo_1,
3074 /* 1011 0011 0100 */ &move_rs_irdo_1,
3075 /* 1011 0011 0101 */ &move_rs_irdo_1,
3076 /* 1011 0011 0110 */ &move_rs_irdo_1,
3077 /* 1011 0011 0111 */ &move_rs_irdo_1,
3078 /* 1011 0011 1000 */ &move_rs_irdo_1,
3079 /* 1011 0011 1001 */ &move_rs_irdo_1,
3080 /* 1011 0011 1010 */ &move_rs_irdo_1,
3081 /* 1011 0011 1011 */ &move_rs_irdo_1,
3082 /* 1011 0011 1100 */ &move_rs_irdo_1,
3083 /* 1011 0011 1101 */ &move_rs_irdo_1,
3084 /* 1011 0011 1110 */ &move_rs_irdo_1,
3085 /* 1011 0011 1111 */ &move_rs_irdo_1,
3086 
3087 /* 1011 0100 0000 */ &move_irso_rd_0,
3088 /* 1011 0100 0001 */ &move_irso_rd_0,
3089 /* 1011 0100 0010 */ &move_irso_rd_0,
3090 /* 1011 0100 0011 */ &move_irso_rd_0,
3091 /* 1011 0100 0100 */ &move_irso_rd_0,
3092 /* 1011 0100 0101 */ &move_irso_rd_0,
3093 /* 1011 0100 0110 */ &move_irso_rd_0,
3094 /* 1011 0100 0111 */ &move_irso_rd_0,
3095 /* 1011 0100 1000 */ &move_irso_rd_0,
3096 /* 1011 0100 1001 */ &move_irso_rd_0,
3097 /* 1011 0100 1010 */ &move_irso_rd_0,
3098 /* 1011 0100 1011 */ &move_irso_rd_0,
3099 /* 1011 0100 1100 */ &move_irso_rd_0,
3100 /* 1011 0100 1101 */ &move_irso_rd_0,
3101 /* 1011 0100 1110 */ &move_irso_rd_0,
3102 /* 1011 0100 1111 */ &move_irso_rd_0,
3103 
3104 /* 1011 0101 0000 */ &move_irso_rd_0,
3105 /* 1011 0101 0001 */ &move_irso_rd_0,
3106 /* 1011 0101 0010 */ &move_irso_rd_0,
3107 /* 1011 0101 0011 */ &move_irso_rd_0,
3108 /* 1011 0101 0100 */ &move_irso_rd_0,
3109 /* 1011 0101 0101 */ &move_irso_rd_0,
3110 /* 1011 0101 0110 */ &move_irso_rd_0,
3111 /* 1011 0101 0111 */ &move_irso_rd_0,
3112 /* 1011 0101 1000 */ &move_irso_rd_0,
3113 /* 1011 0101 1001 */ &move_irso_rd_0,
3114 /* 1011 0101 1010 */ &move_irso_rd_0,
3115 /* 1011 0101 1011 */ &move_irso_rd_0,
3116 /* 1011 0101 1100 */ &move_irso_rd_0,
3117 /* 1011 0101 1101 */ &move_irso_rd_0,
3118 /* 1011 0101 1110 */ &move_irso_rd_0,
3119 /* 1011 0101 1111 */ &move_irso_rd_0,
3120 
3121 /* 1011 0110 0000 */ &move_irso_rd_1,
3122 /* 1011 0110 0001 */ &move_irso_rd_1,
3123 /* 1011 0110 0010 */ &move_irso_rd_1,
3124 /* 1011 0110 0011 */ &move_irso_rd_1,
3125 /* 1011 0110 0100 */ &move_irso_rd_1,
3126 /* 1011 0110 0101 */ &move_irso_rd_1,
3127 /* 1011 0110 0110 */ &move_irso_rd_1,
3128 /* 1011 0110 0111 */ &move_irso_rd_1,
3129 /* 1011 0110 1000 */ &move_irso_rd_1,
3130 /* 1011 0110 1001 */ &move_irso_rd_1,
3131 /* 1011 0110 1010 */ &move_irso_rd_1,
3132 /* 1011 0110 1011 */ &move_irso_rd_1,
3133 /* 1011 0110 1100 */ &move_irso_rd_1,
3134 /* 1011 0110 1101 */ &move_irso_rd_1,
3135 /* 1011 0110 1110 */ &move_irso_rd_1,
3136 /* 1011 0110 1111 */ &move_irso_rd_1,
3137 
3138 /* 1011 0111 0000 */ &move_irso_rd_1,
3139 /* 1011 0111 0001 */ &move_irso_rd_1,
3140 /* 1011 0111 0010 */ &move_irso_rd_1,
3141 /* 1011 0111 0011 */ &move_irso_rd_1,
3142 /* 1011 0111 0100 */ &move_irso_rd_1,
3143 /* 1011 0111 0101 */ &move_irso_rd_1,
3144 /* 1011 0111 0110 */ &move_irso_rd_1,
3145 /* 1011 0111 0111 */ &move_irso_rd_1,
3146 /* 1011 0111 1000 */ &move_irso_rd_1,
3147 /* 1011 0111 1001 */ &move_irso_rd_1,
3148 /* 1011 0111 1010 */ &move_irso_rd_1,
3149 /* 1011 0111 1011 */ &move_irso_rd_1,
3150 /* 1011 0111 1100 */ &move_irso_rd_1,
3151 /* 1011 0111 1101 */ &move_irso_rd_1,
3152 /* 1011 0111 1110 */ &move_irso_rd_1,
3153 /* 1011 0111 1111 */ &move_irso_rd_1,
3154 
3155 /* 1011 1000 0000 */ &move_irso_irdo_0,
3156 /* 1011 1000 0001 */ &move_irso_irdo_0,
3157 /* 1011 1000 0010 */ &move_irso_irdo_0,
3158 /* 1011 1000 0011 */ &move_irso_irdo_0,
3159 /* 1011 1000 0100 */ &move_irso_irdo_0,
3160 /* 1011 1000 0101 */ &move_irso_irdo_0,
3161 /* 1011 1000 0110 */ &move_irso_irdo_0,
3162 /* 1011 1000 0111 */ &move_irso_irdo_0,
3163 /* 1011 1000 1000 */ &move_irso_irdo_0,
3164 /* 1011 1000 1001 */ &move_irso_irdo_0,
3165 /* 1011 1000 1010 */ &move_irso_irdo_0,
3166 /* 1011 1000 1011 */ &move_irso_irdo_0,
3167 /* 1011 1000 1100 */ &move_irso_irdo_0,
3168 /* 1011 1000 1101 */ &move_irso_irdo_0,
3169 /* 1011 1000 1110 */ &move_irso_irdo_0,
3170 /* 1011 1000 1111 */ &move_irso_irdo_0,
3171 
3172 /* 1011 1001 0000 */ &move_irso_irdo_0,
3173 /* 1011 1001 0001 */ &move_irso_irdo_0,
3174 /* 1011 1001 0010 */ &move_irso_irdo_0,
3175 /* 1011 1001 0011 */ &move_irso_irdo_0,
3176 /* 1011 1001 0100 */ &move_irso_irdo_0,
3177 /* 1011 1001 0101 */ &move_irso_irdo_0,
3178 /* 1011 1001 0110 */ &move_irso_irdo_0,
3179 /* 1011 1001 0111 */ &move_irso_irdo_0,
3180 /* 1011 1001 1000 */ &move_irso_irdo_0,
3181 /* 1011 1001 1001 */ &move_irso_irdo_0,
3182 /* 1011 1001 1010 */ &move_irso_irdo_0,
3183 /* 1011 1001 1011 */ &move_irso_irdo_0,
3184 /* 1011 1001 1100 */ &move_irso_irdo_0,
3185 /* 1011 1001 1101 */ &move_irso_irdo_0,
3186 /* 1011 1001 1110 */ &move_irso_irdo_0,
3187 /* 1011 1001 1111 */ &move_irso_irdo_0,
3188 
3189 /* 1011 1010 0000 */ &move_irso_irdo_1,
3190 /* 1011 1010 0001 */ &move_irso_irdo_1,
3191 /* 1011 1010 0010 */ &move_irso_irdo_1,
3192 /* 1011 1010 0011 */ &move_irso_irdo_1,
3193 /* 1011 1010 0100 */ &move_irso_irdo_1,
3194 /* 1011 1010 0101 */ &move_irso_irdo_1,
3195 /* 1011 1010 0110 */ &move_irso_irdo_1,
3196 /* 1011 1010 0111 */ &move_irso_irdo_1,
3197 /* 1011 1010 1000 */ &move_irso_irdo_1,
3198 /* 1011 1010 1001 */ &move_irso_irdo_1,
3199 /* 1011 1010 1010 */ &move_irso_irdo_1,
3200 /* 1011 1010 1011 */ &move_irso_irdo_1,
3201 /* 1011 1010 1100 */ &move_irso_irdo_1,
3202 /* 1011 1010 1101 */ &move_irso_irdo_1,
3203 /* 1011 1010 1110 */ &move_irso_irdo_1,
3204 /* 1011 1010 1111 */ &move_irso_irdo_1,
3205 
3206 /* 1011 1011 0000 */ &move_irso_irdo_1,
3207 /* 1011 1011 0001 */ &move_irso_irdo_1,
3208 /* 1011 1011 0010 */ &move_irso_irdo_1,
3209 /* 1011 1011 0011 */ &move_irso_irdo_1,
3210 /* 1011 1011 0100 */ &move_irso_irdo_1,
3211 /* 1011 1011 0101 */ &move_irso_irdo_1,
3212 /* 1011 1011 0110 */ &move_irso_irdo_1,
3213 /* 1011 1011 0111 */ &move_irso_irdo_1,
3214 /* 1011 1011 1000 */ &move_irso_irdo_1,
3215 /* 1011 1011 1001 */ &move_irso_irdo_1,
3216 /* 1011 1011 1010 */ &move_irso_irdo_1,
3217 /* 1011 1011 1011 */ &move_irso_irdo_1,
3218 /* 1011 1011 1100 */ &move_irso_irdo_1,
3219 /* 1011 1011 1101 */ &move_irso_irdo_1,
3220 /* 1011 1011 1110 */ &move_irso_irdo_1,
3221 /* 1011 1011 1111 */ &move_irso_irdo_1,
3222 
3223 /* 1011 1100 0000 */ &movb_irso_irdo,
3224 /* 1011 1100 0001 */ &movb_irso_irdo,
3225 /* 1011 1100 0010 */ &movb_irso_irdo,
3226 /* 1011 1100 0011 */ &movb_irso_irdo,
3227 /* 1011 1100 0100 */ &movb_irso_irdo,
3228 /* 1011 1100 0101 */ &movb_irso_irdo,
3229 /* 1011 1100 0110 */ &movb_irso_irdo,
3230 /* 1011 1100 0111 */ &movb_irso_irdo,
3231 /* 1011 1100 1000 */ &movb_irso_irdo,
3232 /* 1011 1100 1001 */ &movb_irso_irdo,
3233 /* 1011 1100 1010 */ &movb_irso_irdo,
3234 /* 1011 1100 1011 */ &movb_irso_irdo,
3235 /* 1011 1100 1100 */ &movb_irso_irdo,
3236 /* 1011 1100 1101 */ &movb_irso_irdo,
3237 /* 1011 1100 1110 */ &movb_irso_irdo,
3238 /* 1011 1100 1111 */ &movb_irso_irdo,
3239 
3240 /* 1011 1101 0000 */ &movb_irso_irdo,
3241 /* 1011 1101 0001 */ &movb_irso_irdo,
3242 /* 1011 1101 0010 */ &movb_irso_irdo,
3243 /* 1011 1101 0011 */ &movb_irso_irdo,
3244 /* 1011 1101 0100 */ &movb_irso_irdo,
3245 /* 1011 1101 0101 */ &movb_irso_irdo,
3246 /* 1011 1101 0110 */ &movb_irso_irdo,
3247 /* 1011 1101 0111 */ &movb_irso_irdo,
3248 /* 1011 1101 1000 */ &movb_irso_irdo,
3249 /* 1011 1101 1001 */ &movb_irso_irdo,
3250 /* 1011 1101 1010 */ &movb_irso_irdo,
3251 /* 1011 1101 1011 */ &movb_irso_irdo,
3252 /* 1011 1101 1100 */ &movb_irso_irdo,
3253 /* 1011 1101 1101 */ &movb_irso_irdo,
3254 /* 1011 1101 1110 */ &movb_irso_irdo,
3255 /* 1011 1101 1111 */ &movb_irso_irdo,
3256 
3257 /* 1011 1110 0000 */ &unimplemented,
3258 /* 1011 1110 0001 */ &unimplemented,
3259 /* 1011 1110 0010 */ &unimplemented,
3260 /* 1011 1110 0011 */ &unimplemented,
3261 /* 1011 1110 0100 */ &unimplemented,
3262 /* 1011 1110 0101 */ &unimplemented,
3263 /* 1011 1110 0110 */ &unimplemented,
3264 /* 1011 1110 0111 */ &unimplemented,
3265 /* 1011 1110 1000 */ &unimplemented,
3266 /* 1011 1110 1001 */ &unimplemented,
3267 /* 1011 1110 1010 */ &unimplemented,
3268 /* 1011 1110 1011 */ &unimplemented,
3269 /* 1011 1110 1100 */ &unimplemented,
3270 /* 1011 1110 1101 */ &unimplemented,
3271 /* 1011 1110 1110 */ &unimplemented,
3272 /* 1011 1110 1111 */ &unimplemented,
3273 
3274 /* 1011 1111 0000 */ &unimplemented,
3275 /* 1011 1111 0001 */ &unimplemented,
3276 /* 1011 1111 0010 */ &unimplemented,
3277 /* 1011 1111 0011 */ &unimplemented,
3278 /* 1011 1111 0100 */ &unimplemented,
3279 /* 1011 1111 0101 */ &unimplemented,
3280 /* 1011 1111 0110 */ &unimplemented,
3281 /* 1011 1111 0111 */ &unimplemented,
3282 /* 1011 1111 1000 */ &unimplemented,
3283 /* 1011 1111 1001 */ &unimplemented,
3284 /* 1011 1111 1010 */ &unimplemented,
3285 /* 1011 1111 1011 */ &unimplemented,
3286 /* 1011 1111 1100 */ &unimplemented,
3287 /* 1011 1111 1101 */ &unimplemented,
3288 /* 1011 1111 1110 */ &unimplemented,
3289 /* 1011 1111 1111 */ &unimplemented,
3290 
3291 /* 1100 0000 0000 */ &jr_uc_0,
3292 /* 1100 0000 0001 */ &jr_uc,
3293 /* 1100 0000 0010 */ &jr_uc,
3294 /* 1100 0000 0011 */ &jr_uc,
3295 /* 1100 0000 0100 */ &jr_uc,
3296 /* 1100 0000 0101 */ &jr_uc,
3297 /* 1100 0000 0110 */ &jr_uc,
3298 /* 1100 0000 0111 */ &jr_uc,
3299 /* 1100 0000 1000 */ &jr_uc_8,
3300 /* 1100 0000 1001 */ &jr_uc,
3301 /* 1100 0000 1010 */ &jr_uc,
3302 /* 1100 0000 1011 */ &jr_uc,
3303 /* 1100 0000 1100 */ &jr_uc,
3304 /* 1100 0000 1101 */ &jr_uc,
3305 /* 1100 0000 1110 */ &jr_uc,
3306 /* 1100 0000 1111 */ &jr_uc,
3307 
3308 /* 1100 0001 0000 */ &jr_p_0,
3309 /* 1100 0001 0001 */ &jr_p,
3310 /* 1100 0001 0010 */ &jr_p,
3311 /* 1100 0001 0011 */ &jr_p,
3312 /* 1100 0001 0100 */ &jr_p,
3313 /* 1100 0001 0101 */ &jr_p,
3314 /* 1100 0001 0110 */ &jr_p,
3315 /* 1100 0001 0111 */ &jr_p,
3316 /* 1100 0001 1000 */ &jr_p_8,
3317 /* 1100 0001 1001 */ &jr_p,
3318 /* 1100 0001 1010 */ &jr_p,
3319 /* 1100 0001 1011 */ &jr_p,
3320 /* 1100 0001 1100 */ &jr_p,
3321 /* 1100 0001 1101 */ &jr_p,
3322 /* 1100 0001 1110 */ &jr_p,
3323 /* 1100 0001 1111 */ &jr_p,
3324 
3325 /* 1100 0010 0000 */ &jr_ls_0,
3326 /* 1100 0010 0001 */ &jr_ls,
3327 /* 1100 0010 0010 */ &jr_ls,
3328 /* 1100 0010 0011 */ &jr_ls,
3329 /* 1100 0010 0100 */ &jr_ls,
3330 /* 1100 0010 0101 */ &jr_ls,
3331 /* 1100 0010 0110 */ &jr_ls,
3332 /* 1100 0010 0111 */ &jr_ls,
3333 /* 1100 0010 1000 */ &jr_ls_8,
3334 /* 1100 0010 1001 */ &jr_ls,
3335 /* 1100 0010 1010 */ &jr_ls,
3336 /* 1100 0010 1011 */ &jr_ls,
3337 /* 1100 0010 1100 */ &jr_ls,
3338 /* 1100 0010 1101 */ &jr_ls,
3339 /* 1100 0010 1110 */ &jr_ls,
3340 /* 1100 0010 1111 */ &jr_ls,
3341 
3342 /* 1100 0011 0000 */ &jr_hi_0,
3343 /* 1100 0011 0001 */ &jr_hi,
3344 /* 1100 0011 0010 */ &jr_hi,
3345 /* 1100 0011 0011 */ &jr_hi,
3346 /* 1100 0011 0100 */ &jr_hi,
3347 /* 1100 0011 0101 */ &jr_hi,
3348 /* 1100 0011 0110 */ &jr_hi,
3349 /* 1100 0011 0111 */ &jr_hi,
3350 /* 1100 0011 1000 */ &jr_hi_8,
3351 /* 1100 0011 1001 */ &jr_hi,
3352 /* 1100 0011 1010 */ &jr_hi,
3353 /* 1100 0011 1011 */ &jr_hi,
3354 /* 1100 0011 1100 */ &jr_hi,
3355 /* 1100 0011 1101 */ &jr_hi,
3356 /* 1100 0011 1110 */ &jr_hi,
3357 /* 1100 0011 1111 */ &jr_hi,
3358 
3359 /* 1100 0100 0000 */ &jr_lt_0,
3360 /* 1100 0100 0001 */ &jr_lt,
3361 /* 1100 0100 0010 */ &jr_lt,
3362 /* 1100 0100 0011 */ &jr_lt,
3363 /* 1100 0100 0100 */ &jr_lt,
3364 /* 1100 0100 0101 */ &jr_lt,
3365 /* 1100 0100 0110 */ &jr_lt,
3366 /* 1100 0100 0111 */ &jr_lt,
3367 /* 1100 0100 1000 */ &jr_lt_8,
3368 /* 1100 0100 1001 */ &jr_lt,
3369 /* 1100 0100 1010 */ &jr_lt,
3370 /* 1100 0100 1011 */ &jr_lt,
3371 /* 1100 0100 1100 */ &jr_lt,
3372 /* 1100 0100 1101 */ &jr_lt,
3373 /* 1100 0100 1110 */ &jr_lt,
3374 /* 1100 0100 1111 */ &jr_lt,
3375 
3376 /* 1100 0101 0000 */ &jr_ge_0,
3377 /* 1100 0101 0001 */ &jr_ge,
3378 /* 1100 0101 0010 */ &jr_ge,
3379 /* 1100 0101 0011 */ &jr_ge,
3380 /* 1100 0101 0100 */ &jr_ge,
3381 /* 1100 0101 0101 */ &jr_ge,
3382 /* 1100 0101 0110 */ &jr_ge,
3383 /* 1100 0101 0111 */ &jr_ge,
3384 /* 1100 0101 1000 */ &jr_ge_8,
3385 /* 1100 0101 1001 */ &jr_ge,
3386 /* 1100 0101 1010 */ &jr_ge,
3387 /* 1100 0101 1011 */ &jr_ge,
3388 /* 1100 0101 1100 */ &jr_ge,
3389 /* 1100 0101 1101 */ &jr_ge,
3390 /* 1100 0101 1110 */ &jr_ge,
3391 /* 1100 0101 1111 */ &jr_ge,
3392 
3393 /* 1100 0110 0000 */ &jr_le_0,
3394 /* 1100 0110 0001 */ &jr_le,
3395 /* 1100 0110 0010 */ &jr_le,
3396 /* 1100 0110 0011 */ &jr_le,
3397 /* 1100 0110 0100 */ &jr_le,
3398 /* 1100 0110 0101 */ &jr_le,
3399 /* 1100 0110 0110 */ &jr_le,
3400 /* 1100 0110 0111 */ &jr_le,
3401 /* 1100 0110 1000 */ &jr_le_8,
3402 /* 1100 0110 1001 */ &jr_le,
3403 /* 1100 0110 1010 */ &jr_le,
3404 /* 1100 0110 1011 */ &jr_le,
3405 /* 1100 0110 1100 */ &jr_le,
3406 /* 1100 0110 1101 */ &jr_le,
3407 /* 1100 0110 1110 */ &jr_le,
3408 /* 1100 0110 1111 */ &jr_le,
3409 
3410 /* 1100 0111 0000 */ &jr_gt_0,
3411 /* 1100 0111 0001 */ &jr_gt,
3412 /* 1100 0111 0010 */ &jr_gt,
3413 /* 1100 0111 0011 */ &jr_gt,
3414 /* 1100 0111 0100 */ &jr_gt,
3415 /* 1100 0111 0101 */ &jr_gt,
3416 /* 1100 0111 0110 */ &jr_gt,
3417 /* 1100 0111 0111 */ &jr_gt,
3418 /* 1100 0111 1000 */ &jr_gt_8,
3419 /* 1100 0111 1001 */ &jr_gt,
3420 /* 1100 0111 1010 */ &jr_gt,
3421 /* 1100 0111 1011 */ &jr_gt,
3422 /* 1100 0111 1100 */ &jr_gt,
3423 /* 1100 0111 1101 */ &jr_gt,
3424 /* 1100 0111 1110 */ &jr_gt,
3425 /* 1100 0111 1111 */ &jr_gt,
3426 
3427 /* 1100 1000 0000 */ &jr_c_0,
3428 /* 1100 1000 0001 */ &jr_c,
3429 /* 1100 1000 0010 */ &jr_c,
3430 /* 1100 1000 0011 */ &jr_c,
3431 /* 1100 1000 0100 */ &jr_c,
3432 /* 1100 1000 0101 */ &jr_c,
3433 /* 1100 1000 0110 */ &jr_c,
3434 /* 1100 1000 0111 */ &jr_c,
3435 /* 1100 1000 1000 */ &jr_c_8,
3436 /* 1100 1000 1001 */ &jr_c,
3437 /* 1100 1000 1010 */ &jr_c,
3438 /* 1100 1000 1011 */ &jr_c,
3439 /* 1100 1000 1100 */ &jr_c,
3440 /* 1100 1000 1101 */ &jr_c,
3441 /* 1100 1000 1110 */ &jr_c,
3442 /* 1100 1000 1111 */ &jr_c,
3443 
3444 /* 1100 1001 0000 */ &jr_nc_0,
3445 /* 1100 1001 0001 */ &jr_nc,
3446 /* 1100 1001 0010 */ &jr_nc,
3447 /* 1100 1001 0011 */ &jr_nc,
3448 /* 1100 1001 0100 */ &jr_nc,
3449 /* 1100 1001 0101 */ &jr_nc,
3450 /* 1100 1001 0110 */ &jr_nc,
3451 /* 1100 1001 0111 */ &jr_nc,
3452 /* 1100 1001 1000 */ &jr_nc_8,
3453 /* 1100 1001 1001 */ &jr_nc,
3454 /* 1100 1001 1010 */ &jr_nc,
3455 /* 1100 1001 1011 */ &jr_nc,
3456 /* 1100 1001 1100 */ &jr_nc,
3457 /* 1100 1001 1101 */ &jr_nc,
3458 /* 1100 1001 1110 */ &jr_nc,
3459 /* 1100 1001 1111 */ &jr_nc,
3460 
3461 /* 1100 1010 0000 */ &jr_eq_0,
3462 /* 1100 1010 0001 */ &jr_eq,
3463 /* 1100 1010 0010 */ &jr_eq,
3464 /* 1100 1010 0011 */ &jr_eq,
3465 /* 1100 1010 0100 */ &jr_eq,
3466 /* 1100 1010 0101 */ &jr_eq,
3467 /* 1100 1010 0110 */ &jr_eq,
3468 /* 1100 1010 0111 */ &jr_eq,
3469 /* 1100 1010 1000 */ &jr_eq_8,
3470 /* 1100 1010 1001 */ &jr_eq,
3471 /* 1100 1010 1010 */ &jr_eq,
3472 /* 1100 1010 1011 */ &jr_eq,
3473 /* 1100 1010 1100 */ &jr_eq,
3474 /* 1100 1010 1101 */ &jr_eq,
3475 /* 1100 1010 1110 */ &jr_eq,
3476 /* 1100 1010 1111 */ &jr_eq,
3477 
3478 /* 1100 1011 0000 */ &jr_ne_0,
3479 /* 1100 1011 0001 */ &jr_ne,
3480 /* 1100 1011 0010 */ &jr_ne,
3481 /* 1100 1011 0011 */ &jr_ne,
3482 /* 1100 1011 0100 */ &jr_ne,
3483 /* 1100 1011 0101 */ &jr_ne,
3484 /* 1100 1011 0110 */ &jr_ne,
3485 /* 1100 1011 0111 */ &jr_ne,
3486 /* 1100 1011 1000 */ &jr_ne_8,
3487 /* 1100 1011 1001 */ &jr_ne,
3488 /* 1100 1011 1010 */ &jr_ne,
3489 /* 1100 1011 1011 */ &jr_ne,
3490 /* 1100 1011 1100 */ &jr_ne,
3491 /* 1100 1011 1101 */ &jr_ne,
3492 /* 1100 1011 1110 */ &jr_ne,
3493 /* 1100 1011 1111 */ &jr_ne,
3494 
3495 /* 1100 1100 0000 */ &jr_v_0,
3496 /* 1100 1100 0001 */ &jr_v,
3497 /* 1100 1100 0010 */ &jr_v,
3498 /* 1100 1100 0011 */ &jr_v,
3499 /* 1100 1100 0100 */ &jr_v,
3500 /* 1100 1100 0101 */ &jr_v,
3501 /* 1100 1100 0110 */ &jr_v,
3502 /* 1100 1100 0111 */ &jr_v,
3503 /* 1100 1100 1000 */ &jr_v_8,
3504 /* 1100 1100 1001 */ &jr_v,
3505 /* 1100 1100 1010 */ &jr_v,
3506 /* 1100 1100 1011 */ &jr_v,
3507 /* 1100 1100 1100 */ &jr_v,
3508 /* 1100 1100 1101 */ &jr_v,
3509 /* 1100 1100 1110 */ &jr_v,
3510 /* 1100 1100 1111 */ &jr_v,
3511 
3512 /* 1100 1101 0000 */ &jr_nv_0,
3513 /* 1100 1101 0001 */ &jr_nv,
3514 /* 1100 1101 0010 */ &jr_nv,
3515 /* 1100 1101 0011 */ &jr_nv,
3516 /* 1100 1101 0100 */ &jr_nv,
3517 /* 1100 1101 0101 */ &jr_nv,
3518 /* 1100 1101 0110 */ &jr_nv,
3519 /* 1100 1101 0111 */ &jr_nv,
3520 /* 1100 1101 1000 */ &jr_nv_8,
3521 /* 1100 1101 1001 */ &jr_nv,
3522 /* 1100 1101 1010 */ &jr_nv,
3523 /* 1100 1101 1011 */ &jr_nv,
3524 /* 1100 1101 1100 */ &jr_nv,
3525 /* 1100 1101 1101 */ &jr_nv,
3526 /* 1100 1101 1110 */ &jr_nv,
3527 /* 1100 1101 1111 */ &jr_nv,
3528 
3529 /* 1100 1110 0000 */ &jr_n_0,
3530 /* 1100 1110 0001 */ &jr_n,
3531 /* 1100 1110 0010 */ &jr_n,
3532 /* 1100 1110 0011 */ &jr_n,
3533 /* 1100 1110 0100 */ &jr_n,
3534 /* 1100 1110 0101 */ &jr_n,
3535 /* 1100 1110 0110 */ &jr_n,
3536 /* 1100 1110 0111 */ &jr_n,
3537 /* 1100 1110 1000 */ &jr_n_8,
3538 /* 1100 1110 1001 */ &jr_n,
3539 /* 1100 1110 1010 */ &jr_n,
3540 /* 1100 1110 1011 */ &jr_n,
3541 /* 1100 1110 1100 */ &jr_n,
3542 /* 1100 1110 1101 */ &jr_n,
3543 /* 1100 1110 1110 */ &jr_n,
3544 /* 1100 1110 1111 */ &jr_n,
3545 
3546 /* 1100 1111 0000 */ &jr_nn_0,
3547 /* 1100 1111 0001 */ &jr_nn,
3548 /* 1100 1111 0010 */ &jr_nn,
3549 /* 1100 1111 0011 */ &jr_nn,
3550 /* 1100 1111 0100 */ &jr_nn,
3551 /* 1100 1111 0101 */ &jr_nn,
3552 /* 1100 1111 0110 */ &jr_nn,
3553 /* 1100 1111 0111 */ &jr_nn,
3554 /* 1100 1111 1000 */ &jr_nn_8,
3555 /* 1100 1111 1001 */ &jr_nn,
3556 /* 1100 1111 1010 */ &jr_nn,
3557 /* 1100 1111 1011 */ &jr_nn,
3558 /* 1100 1111 1100 */ &jr_nn,
3559 /* 1100 1111 1101 */ &jr_nn,
3560 /* 1100 1111 1110 */ &jr_nn,
3561 /* 1100 1111 1111 */ &jr_nn,
3562 
3563 /* 1101 0000 0000 */ &move_irso_irdp_0,
3564 /* 1101 0000 0001 */ &move_irso_irdp_0,
3565 /* 1101 0000 0010 */ &move_irso_irdp_0,
3566 /* 1101 0000 0011 */ &move_irso_irdp_0,
3567 /* 1101 0000 0100 */ &move_irso_irdp_0,
3568 /* 1101 0000 0101 */ &move_irso_irdp_0,
3569 /* 1101 0000 0110 */ &move_irso_irdp_0,
3570 /* 1101 0000 0111 */ &move_irso_irdp_0,
3571 /* 1101 0000 1000 */ &move_irso_irdp_0,
3572 /* 1101 0000 1001 */ &move_irso_irdp_0,
3573 /* 1101 0000 1010 */ &move_irso_irdp_0,
3574 /* 1101 0000 1011 */ &move_irso_irdp_0,
3575 /* 1101 0000 1100 */ &move_irso_irdp_0,
3576 /* 1101 0000 1101 */ &move_irso_irdp_0,
3577 /* 1101 0000 1110 */ &move_irso_irdp_0,
3578 /* 1101 0000 1111 */ &move_irso_irdp_0,
3579 
3580 /* 1101 0001 0000 */ &move_irso_irdp_0,
3581 /* 1101 0001 0001 */ &move_irso_irdp_0,
3582 /* 1101 0001 0010 */ &move_irso_irdp_0,
3583 /* 1101 0001 0011 */ &move_irso_irdp_0,
3584 /* 1101 0001 0100 */ &move_irso_irdp_0,
3585 /* 1101 0001 0101 */ &move_irso_irdp_0,
3586 /* 1101 0001 0110 */ &move_irso_irdp_0,
3587 /* 1101 0001 0111 */ &move_irso_irdp_0,
3588 /* 1101 0001 1000 */ &move_irso_irdp_0,
3589 /* 1101 0001 1001 */ &move_irso_irdp_0,
3590 /* 1101 0001 1010 */ &move_irso_irdp_0,
3591 /* 1101 0001 1011 */ &move_irso_irdp_0,
3592 /* 1101 0001 1100 */ &move_irso_irdp_0,
3593 /* 1101 0001 1101 */ &move_irso_irdp_0,
3594 /* 1101 0001 1110 */ &move_irso_irdp_0,
3595 /* 1101 0001 1111 */ &move_irso_irdp_0,
3596 
3597 /* 1101 0010 0000 */ &move_irso_irdp_1,
3598 /* 1101 0010 0001 */ &move_irso_irdp_1,
3599 /* 1101 0010 0010 */ &move_irso_irdp_1,
3600 /* 1101 0010 0011 */ &move_irso_irdp_1,
3601 /* 1101 0010 0100 */ &move_irso_irdp_1,
3602 /* 1101 0010 0101 */ &move_irso_irdp_1,
3603 /* 1101 0010 0110 */ &move_irso_irdp_1,
3604 /* 1101 0010 0111 */ &move_irso_irdp_1,
3605 /* 1101 0010 1000 */ &move_irso_irdp_1,
3606 /* 1101 0010 1001 */ &move_irso_irdp_1,
3607 /* 1101 0010 1010 */ &move_irso_irdp_1,
3608 /* 1101 0010 1011 */ &move_irso_irdp_1,
3609 /* 1101 0010 1100 */ &move_irso_irdp_1,
3610 /* 1101 0010 1101 */ &move_irso_irdp_1,
3611 /* 1101 0010 1110 */ &move_irso_irdp_1,
3612 /* 1101 0010 1111 */ &move_irso_irdp_1,
3613 
3614 /* 1101 0011 0000 */ &move_irso_irdp_1,
3615 /* 1101 0011 0001 */ &move_irso_irdp_1,
3616 /* 1101 0011 0010 */ &move_irso_irdp_1,
3617 /* 1101 0011 0011 */ &move_irso_irdp_1,
3618 /* 1101 0011 0100 */ &move_irso_irdp_1,
3619 /* 1101 0011 0101 */ &move_irso_irdp_1,
3620 /* 1101 0011 0110 */ &move_irso_irdp_1,
3621 /* 1101 0011 0111 */ &move_irso_irdp_1,
3622 /* 1101 0011 1000 */ &move_irso_irdp_1,
3623 /* 1101 0011 1001 */ &move_irso_irdp_1,
3624 /* 1101 0011 1010 */ &move_irso_irdp_1,
3625 /* 1101 0011 1011 */ &move_irso_irdp_1,
3626 /* 1101 0011 1100 */ &move_irso_irdp_1,
3627 /* 1101 0011 1101 */ &move_irso_irdp_1,
3628 /* 1101 0011 1110 */ &move_irso_irdp_1,
3629 /* 1101 0011 1111 */ &move_irso_irdp_1,
3630 
3631 /* 1101 0100 0000 */ &move_addr_irsp_0,
3632 /* 1101 0100 0001 */ &move_addr_irsp_0,
3633 /* 1101 0100 0010 */ &unimplemented,
3634 /* 1101 0100 0011 */ &unimplemented,
3635 /* 1101 0100 0100 */ &unimplemented,
3636 /* 1101 0100 0101 */ &unimplemented,
3637 /* 1101 0100 0110 */ &unimplemented,
3638 /* 1101 0100 0111 */ &unimplemented,
3639 /* 1101 0100 1000 */ &unimplemented,
3640 /* 1101 0100 1001 */ &unimplemented,
3641 /* 1101 0100 1010 */ &unimplemented,
3642 /* 1101 0100 1011 */ &unimplemented,
3643 /* 1101 0100 1100 */ &unimplemented,
3644 /* 1101 0100 1101 */ &unimplemented,
3645 /* 1101 0100 1110 */ &unimplemented,
3646 /* 1101 0100 1111 */ &unimplemented,
3647 
3648 /* 1101 0101 0000 */ &exgf_rd_0,
3649 /* 1101 0101 0001 */ &exgf_rd_0,
3650 /* 1101 0101 0010 */ &unimplemented,
3651 /* 1101 0101 0011 */ &unimplemented,
3652 /* 1101 0101 0100 */ &unimplemented,
3653 /* 1101 0101 0101 */ &unimplemented,
3654 /* 1101 0101 0110 */ &unimplemented,
3655 /* 1101 0101 0111 */ &unimplemented,
3656 /* 1101 0101 1000 */ &unimplemented,
3657 /* 1101 0101 1001 */ &unimplemented,
3658 /* 1101 0101 1010 */ &unimplemented,
3659 /* 1101 0101 1011 */ &unimplemented,
3660 /* 1101 0101 1100 */ &unimplemented,
3661 /* 1101 0101 1101 */ &unimplemented,
3662 /* 1101 0101 1110 */ &unimplemented,
3663 /* 1101 0101 1111 */ &unimplemented,
3664 
3665 /* 1101 0110 0000 */ &move_addr_irsp_1,
3666 /* 1101 0110 0001 */ &move_addr_irsp_1,
3667 /* 1101 0110 0010 */ &unimplemented,
3668 /* 1101 0110 0011 */ &unimplemented,
3669 /* 1101 0110 0100 */ &unimplemented,
3670 /* 1101 0110 0101 */ &unimplemented,
3671 /* 1101 0110 0110 */ &unimplemented,
3672 /* 1101 0110 0111 */ &unimplemented,
3673 /* 1101 0110 1000 */ &unimplemented,
3674 /* 1101 0110 1001 */ &unimplemented,
3675 /* 1101 0110 1010 */ &unimplemented,
3676 /* 1101 0110 1011 */ &unimplemented,
3677 /* 1101 0110 1100 */ &unimplemented,
3678 /* 1101 0110 1101 */ &unimplemented,
3679 /* 1101 0110 1110 */ &unimplemented,
3680 /* 1101 0110 1111 */ &unimplemented,
3681 
3682 /* 1101 0111 0000 */ &exgf_rd_1,
3683 /* 1101 0111 0001 */ &exgf_rd_1,
3684 /* 1101 0111 0010 */ &unimplemented,
3685 /* 1101 0111 0011 */ &unimplemented,
3686 /* 1101 0111 0100 */ &unimplemented,
3687 /* 1101 0111 0101 */ &unimplemented,
3688 /* 1101 0111 0110 */ &unimplemented,
3689 /* 1101 0111 0111 */ &unimplemented,
3690 /* 1101 0111 1000 */ &unimplemented,
3691 /* 1101 0111 1001 */ &unimplemented,
3692 /* 1101 0111 1010 */ &unimplemented,
3693 /* 1101 0111 1011 */ &unimplemented,
3694 /* 1101 0111 1100 */ &unimplemented,
3695 /* 1101 0111 1101 */ &unimplemented,
3696 /* 1101 0111 1110 */ &unimplemented,
3697 /* 1101 0111 1111 */ &unimplemented,
3698 
3699 /* 1101 1000 0000 */ &unimplemented,
3700 /* 1101 1000 0001 */ &unimplemented,
3701 /* 1101 1000 0010 */ &unimplemented,
3702 /* 1101 1000 0011 */ &unimplemented,
3703 /* 1101 1000 0100 */ &unimplemented,
3704 /* 1101 1000 0101 */ &unimplemented,
3705 /* 1101 1000 0110 */ &unimplemented,
3706 /* 1101 1000 0111 */ &unimplemented,
3707 /* 1101 1000 1000 */ &unimplemented,
3708 /* 1101 1000 1001 */ &unimplemented,
3709 /* 1101 1000 1010 */ &unimplemented,
3710 /* 1101 1000 1011 */ &unimplemented,
3711 /* 1101 1000 1100 */ &unimplemented,
3712 /* 1101 1000 1101 */ &unimplemented,
3713 /* 1101 1000 1110 */ &unimplemented,
3714 /* 1101 1000 1111 */ &unimplemented,
3715 
3716 /* 1101 1001 0000 */ &unimplemented,
3717 /* 1101 1001 0001 */ &unimplemented,
3718 /* 1101 1001 0010 */ &unimplemented,
3719 /* 1101 1001 0011 */ &unimplemented,
3720 /* 1101 1001 0100 */ &unimplemented,
3721 /* 1101 1001 0101 */ &unimplemented,
3722 /* 1101 1001 0110 */ &unimplemented,
3723 /* 1101 1001 0111 */ &unimplemented,
3724 /* 1101 1001 1000 */ &unimplemented,
3725 /* 1101 1001 1001 */ &unimplemented,
3726 /* 1101 1001 1010 */ &unimplemented,
3727 /* 1101 1001 1011 */ &unimplemented,
3728 /* 1101 1001 1100 */ &unimplemented,
3729 /* 1101 1001 1101 */ &unimplemented,
3730 /* 1101 1001 1110 */ &unimplemented,
3731 /* 1101 1001 1111 */ &unimplemented,
3732 
3733 /* 1101 1010 0000 */ &unimplemented,
3734 /* 1101 1010 0001 */ &unimplemented,
3735 /* 1101 1010 0010 */ &unimplemented,
3736 /* 1101 1010 0011 */ &unimplemented,
3737 /* 1101 1010 0100 */ &unimplemented,
3738 /* 1101 1010 0101 */ &unimplemented,
3739 /* 1101 1010 0110 */ &unimplemented,
3740 /* 1101 1010 0111 */ &unimplemented,
3741 /* 1101 1010 1000 */ &unimplemented,
3742 /* 1101 1010 1001 */ &unimplemented,
3743 /* 1101 1010 1010 */ &unimplemented,
3744 /* 1101 1010 1011 */ &unimplemented,
3745 /* 1101 1010 1100 */ &unimplemented,
3746 /* 1101 1010 1101 */ &unimplemented,
3747 /* 1101 1010 1110 */ &unimplemented,
3748 /* 1101 1010 1111 */ &unimplemented,
3749 
3750 /* 1101 1011 0000 */ &unimplemented,
3751 /* 1101 1011 0001 */ &unimplemented,
3752 /* 1101 1011 0010 */ &unimplemented,
3753 /* 1101 1011 0011 */ &unimplemented,
3754 /* 1101 1011 0100 */ &unimplemented,
3755 /* 1101 1011 0101 */ &unimplemented,
3756 /* 1101 1011 0110 */ &unimplemented,
3757 /* 1101 1011 0111 */ &unimplemented,
3758 /* 1101 1011 1000 */ &unimplemented,
3759 /* 1101 1011 1001 */ &unimplemented,
3760 /* 1101 1011 1010 */ &unimplemented,
3761 /* 1101 1011 1011 */ &unimplemented,
3762 /* 1101 1011 1100 */ &unimplemented,
3763 /* 1101 1011 1101 */ &unimplemented,
3764 /* 1101 1011 1110 */ &unimplemented,
3765 /* 1101 1011 1111 */ &unimplemented,
3766 
3767 /* 1101 1100 0000 */ &unimplemented,
3768 /* 1101 1100 0001 */ &unimplemented,
3769 /* 1101 1100 0010 */ &unimplemented,
3770 /* 1101 1100 0011 */ &unimplemented,
3771 /* 1101 1100 0100 */ &unimplemented,
3772 /* 1101 1100 0101 */ &unimplemented,
3773 /* 1101 1100 0110 */ &unimplemented,
3774 /* 1101 1100 0111 */ &unimplemented,
3775 /* 1101 1100 1000 */ &unimplemented,
3776 /* 1101 1100 1001 */ &unimplemented,
3777 /* 1101 1100 1010 */ &unimplemented,
3778 /* 1101 1100 1011 */ &unimplemented,
3779 /* 1101 1100 1100 */ &unimplemented,
3780 /* 1101 1100 1101 */ &unimplemented,
3781 /* 1101 1100 1110 */ &unimplemented,
3782 /* 1101 1100 1111 */ &unimplemented,
3783 
3784 /* 1101 1101 0000 */ &unimplemented,
3785 /* 1101 1101 0001 */ &unimplemented,
3786 /* 1101 1101 0010 */ &unimplemented,
3787 /* 1101 1101 0011 */ &unimplemented,
3788 /* 1101 1101 0100 */ &unimplemented,
3789 /* 1101 1101 0101 */ &unimplemented,
3790 /* 1101 1101 0110 */ &unimplemented,
3791 /* 1101 1101 0111 */ &unimplemented,
3792 /* 1101 1101 1000 */ &unimplemented,
3793 /* 1101 1101 1001 */ &unimplemented,
3794 /* 1101 1101 1010 */ &unimplemented,
3795 /* 1101 1101 1011 */ &unimplemented,
3796 /* 1101 1101 1100 */ &unimplemented,
3797 /* 1101 1101 1101 */ &unimplemented,
3798 /* 1101 1101 1110 */ &unimplemented,
3799 /* 1101 1101 1111 */ &unimplemented,
3800 
3801 /* 1101 1110 0000 */ &unimplemented,
3802 /* 1101 1110 0001 */ &unimplemented,
3803 /* 1101 1110 0010 */ &unimplemented,
3804 /* 1101 1110 0011 */ &unimplemented,
3805 /* 1101 1110 0100 */ &unimplemented,
3806 /* 1101 1110 0101 */ &unimplemented,
3807 /* 1101 1110 0110 */ &unimplemented,
3808 /* 1101 1110 0111 */ &unimplemented,
3809 /* 1101 1110 1000 */ &unimplemented,
3810 /* 1101 1110 1001 */ &unimplemented,
3811 /* 1101 1110 1010 */ &unimplemented,
3812 /* 1101 1110 1011 */ &unimplemented,
3813 /* 1101 1110 1100 */ &unimplemented,
3814 /* 1101 1110 1101 */ &unimplemented,
3815 /* 1101 1110 1110 */ &unimplemented,
3816 /* 1101 1110 1111 */ &unimplemented,
3817 
3818 /* 1101 1111 0000 */ &unimplemented,
3819 /* 1101 1111 0001 */ &unimplemented,
3820 /* 1101 1111 0010 */ &unimplemented,
3821 /* 1101 1111 0011 */ &unimplemented,
3822 /* 1101 1111 0100 */ &unimplemented,
3823 /* 1101 1111 0101 */ &unimplemented,
3824 /* 1101 1111 0110 */ &unimplemented,
3825 /* 1101 1111 0111 */ &unimplemented,
3826 /* 1101 1111 1000 */ &unimplemented,
3827 /* 1101 1111 1001 */ &unimplemented,
3828 /* 1101 1111 1010 */ &unimplemented,
3829 /* 1101 1111 1011 */ &unimplemented,
3830 /* 1101 1111 1100 */ &unimplemented,
3831 /* 1101 1111 1101 */ &unimplemented,
3832 /* 1101 1111 1110 */ &unimplemented,
3833 /* 1101 1111 1111 */ &unimplemented,
3834 
3835 /* 1110 0000 0000 */ &addxy_rs_rd,
3836 /* 1110 0000 0001 */ &addxy_rs_rd,
3837 /* 1110 0000 0010 */ &addxy_rs_rd,
3838 /* 1110 0000 0011 */ &addxy_rs_rd,
3839 /* 1110 0000 0100 */ &addxy_rs_rd,
3840 /* 1110 0000 0101 */ &addxy_rs_rd,
3841 /* 1110 0000 0110 */ &addxy_rs_rd,
3842 /* 1110 0000 0111 */ &addxy_rs_rd,
3843 /* 1110 0000 1000 */ &addxy_rs_rd,
3844 /* 1110 0000 1001 */ &addxy_rs_rd,
3845 /* 1110 0000 1010 */ &addxy_rs_rd,
3846 /* 1110 0000 1011 */ &addxy_rs_rd,
3847 /* 1110 0000 1100 */ &addxy_rs_rd,
3848 /* 1110 0000 1101 */ &addxy_rs_rd,
3849 /* 1110 0000 1110 */ &addxy_rs_rd,
3850 /* 1110 0000 1111 */ &addxy_rs_rd,
3851 
3852 /* 1110 0001 0000 */ &addxy_rs_rd,
3853 /* 1110 0001 0001 */ &addxy_rs_rd,
3854 /* 1110 0001 0010 */ &addxy_rs_rd,
3855 /* 1110 0001 0011 */ &addxy_rs_rd,
3856 /* 1110 0001 0100 */ &addxy_rs_rd,
3857 /* 1110 0001 0101 */ &addxy_rs_rd,
3858 /* 1110 0001 0110 */ &addxy_rs_rd,
3859 /* 1110 0001 0111 */ &addxy_rs_rd,
3860 /* 1110 0001 1000 */ &addxy_rs_rd,
3861 /* 1110 0001 1001 */ &addxy_rs_rd,
3862 /* 1110 0001 1010 */ &addxy_rs_rd,
3863 /* 1110 0001 1011 */ &addxy_rs_rd,
3864 /* 1110 0001 1100 */ &addxy_rs_rd,
3865 /* 1110 0001 1101 */ &addxy_rs_rd,
3866 /* 1110 0001 1110 */ &addxy_rs_rd,
3867 /* 1110 0001 1111 */ &addxy_rs_rd,
3868 
3869 /* 1110 0010 0000 */ &subxy_rs_rd,
3870 /* 1110 0010 0001 */ &subxy_rs_rd,
3871 /* 1110 0010 0010 */ &subxy_rs_rd,
3872 /* 1110 0010 0011 */ &subxy_rs_rd,
3873 /* 1110 0010 0100 */ &subxy_rs_rd,
3874 /* 1110 0010 0101 */ &subxy_rs_rd,
3875 /* 1110 0010 0110 */ &subxy_rs_rd,
3876 /* 1110 0010 0111 */ &subxy_rs_rd,
3877 /* 1110 0010 1000 */ &subxy_rs_rd,
3878 /* 1110 0010 1001 */ &subxy_rs_rd,
3879 /* 1110 0010 1010 */ &subxy_rs_rd,
3880 /* 1110 0010 1011 */ &subxy_rs_rd,
3881 /* 1110 0010 1100 */ &subxy_rs_rd,
3882 /* 1110 0010 1101 */ &subxy_rs_rd,
3883 /* 1110 0010 1110 */ &subxy_rs_rd,
3884 /* 1110 0010 1111 */ &subxy_rs_rd,
3885 
3886 /* 1110 0011 0000 */ &subxy_rs_rd,
3887 /* 1110 0011 0001 */ &subxy_rs_rd,
3888 /* 1110 0011 0010 */ &subxy_rs_rd,
3889 /* 1110 0011 0011 */ &subxy_rs_rd,
3890 /* 1110 0011 0100 */ &subxy_rs_rd,
3891 /* 1110 0011 0101 */ &subxy_rs_rd,
3892 /* 1110 0011 0110 */ &subxy_rs_rd,
3893 /* 1110 0011 0111 */ &subxy_rs_rd,
3894 /* 1110 0011 1000 */ &subxy_rs_rd,
3895 /* 1110 0011 1001 */ &subxy_rs_rd,
3896 /* 1110 0011 1010 */ &subxy_rs_rd,
3897 /* 1110 0011 1011 */ &subxy_rs_rd,
3898 /* 1110 0011 1100 */ &subxy_rs_rd,
3899 /* 1110 0011 1101 */ &subxy_rs_rd,
3900 /* 1110 0011 1110 */ &subxy_rs_rd,
3901 /* 1110 0011 1111 */ &subxy_rs_rd,
3902 
3903 /* 1110 0100 0000 */ &cmpxy_rs_rd,
3904 /* 1110 0100 0001 */ &cmpxy_rs_rd,
3905 /* 1110 0100 0010 */ &cmpxy_rs_rd,
3906 /* 1110 0100 0011 */ &cmpxy_rs_rd,
3907 /* 1110 0100 0100 */ &cmpxy_rs_rd,
3908 /* 1110 0100 0101 */ &cmpxy_rs_rd,
3909 /* 1110 0100 0110 */ &cmpxy_rs_rd,
3910 /* 1110 0100 0111 */ &cmpxy_rs_rd,
3911 /* 1110 0100 1000 */ &cmpxy_rs_rd,
3912 /* 1110 0100 1001 */ &cmpxy_rs_rd,
3913 /* 1110 0100 1010 */ &cmpxy_rs_rd,
3914 /* 1110 0100 1011 */ &cmpxy_rs_rd,
3915 /* 1110 0100 1100 */ &cmpxy_rs_rd,
3916 /* 1110 0100 1101 */ &cmpxy_rs_rd,
3917 /* 1110 0100 1110 */ &cmpxy_rs_rd,
3918 /* 1110 0100 1111 */ &cmpxy_rs_rd,
3919 
3920 /* 1110 0101 0000 */ &cmpxy_rs_rd,
3921 /* 1110 0101 0001 */ &cmpxy_rs_rd,
3922 /* 1110 0101 0010 */ &cmpxy_rs_rd,
3923 /* 1110 0101 0011 */ &cmpxy_rs_rd,
3924 /* 1110 0101 0100 */ &cmpxy_rs_rd,
3925 /* 1110 0101 0101 */ &cmpxy_rs_rd,
3926 /* 1110 0101 0110 */ &cmpxy_rs_rd,
3927 /* 1110 0101 0111 */ &cmpxy_rs_rd,
3928 /* 1110 0101 1000 */ &cmpxy_rs_rd,
3929 /* 1110 0101 1001 */ &cmpxy_rs_rd,
3930 /* 1110 0101 1010 */ &cmpxy_rs_rd,
3931 /* 1110 0101 1011 */ &cmpxy_rs_rd,
3932 /* 1110 0101 1100 */ &cmpxy_rs_rd,
3933 /* 1110 0101 1101 */ &cmpxy_rs_rd,
3934 /* 1110 0101 1110 */ &cmpxy_rs_rd,
3935 /* 1110 0101 1111 */ &cmpxy_rs_rd,
3936 
3937 /* 1110 0110 0000 */ &unimplemented,
3938 /* 1110 0110 0001 */ &unimplemented,
3939 /* 1110 0110 0010 */ &unimplemented,
3940 /* 1110 0110 0011 */ &unimplemented,
3941 /* 1110 0110 0100 */ &unimplemented,
3942 /* 1110 0110 0101 */ &unimplemented,
3943 /* 1110 0110 0110 */ &unimplemented,
3944 /* 1110 0110 0111 */ &unimplemented,
3945 /* 1110 0110 1000 */ &unimplemented,
3946 /* 1110 0110 1001 */ &unimplemented,
3947 /* 1110 0110 1010 */ &unimplemented,
3948 /* 1110 0110 1011 */ &unimplemented,
3949 /* 1110 0110 1100 */ &unimplemented,
3950 /* 1110 0110 1101 */ &unimplemented,
3951 /* 1110 0110 1110 */ &unimplemented,
3952 /* 1110 0110 1111 */ &unimplemented,
3953 
3954 /* 1110 0111 0000 */ &unimplemented,
3955 /* 1110 0111 0001 */ &unimplemented,
3956 /* 1110 0111 0010 */ &unimplemented,
3957 /* 1110 0111 0011 */ &unimplemented,
3958 /* 1110 0111 0100 */ &unimplemented,
3959 /* 1110 0111 0101 */ &unimplemented,
3960 /* 1110 0111 0110 */ &unimplemented,
3961 /* 1110 0111 0111 */ &unimplemented,
3962 /* 1110 0111 1000 */ &unimplemented,
3963 /* 1110 0111 1001 */ &unimplemented,
3964 /* 1110 0111 1010 */ &unimplemented,
3965 /* 1110 0111 1011 */ &unimplemented,
3966 /* 1110 0111 1100 */ &unimplemented,
3967 /* 1110 0111 1101 */ &unimplemented,
3968 /* 1110 0111 1110 */ &unimplemented,
3969 /* 1110 0111 1111 */ &unimplemented,
3970 
3971 /* 1110 1000 0000 */ &cvxyl_rs_rd,
3972 /* 1110 1000 0001 */ &cvxyl_rs_rd,
3973 /* 1110 1000 0010 */ &cvxyl_rs_rd,
3974 /* 1110 1000 0011 */ &cvxyl_rs_rd,
3975 /* 1110 1000 0100 */ &cvxyl_rs_rd,
3976 /* 1110 1000 0101 */ &cvxyl_rs_rd,
3977 /* 1110 1000 0110 */ &cvxyl_rs_rd,
3978 /* 1110 1000 0111 */ &cvxyl_rs_rd,
3979 /* 1110 1000 1000 */ &cvxyl_rs_rd,
3980 /* 1110 1000 1001 */ &cvxyl_rs_rd,
3981 /* 1110 1000 1010 */ &cvxyl_rs_rd,
3982 /* 1110 1000 1011 */ &cvxyl_rs_rd,
3983 /* 1110 1000 1100 */ &cvxyl_rs_rd,
3984 /* 1110 1000 1101 */ &cvxyl_rs_rd,
3985 /* 1110 1000 1110 */ &cvxyl_rs_rd,
3986 /* 1110 1000 1111 */ &cvxyl_rs_rd,
3987 
3988 /* 1110 1001 0000 */ &cvxyl_rs_rd,
3989 /* 1110 1001 0001 */ &cvxyl_rs_rd,
3990 /* 1110 1001 0010 */ &cvxyl_rs_rd,
3991 /* 1110 1001 0011 */ &cvxyl_rs_rd,
3992 /* 1110 1001 0100 */ &cvxyl_rs_rd,
3993 /* 1110 1001 0101 */ &cvxyl_rs_rd,
3994 /* 1110 1001 0110 */ &cvxyl_rs_rd,
3995 /* 1110 1001 0111 */ &cvxyl_rs_rd,
3996 /* 1110 1001 1000 */ &cvxyl_rs_rd,
3997 /* 1110 1001 1001 */ &cvxyl_rs_rd,
3998 /* 1110 1001 1010 */ &cvxyl_rs_rd,
3999 /* 1110 1001 1011 */ &cvxyl_rs_rd,
4000 /* 1110 1001 1100 */ &cvxyl_rs_rd,
4001 /* 1110 1001 1101 */ &cvxyl_rs_rd,
4002 /* 1110 1001 1110 */ &cvxyl_rs_rd,
4003 /* 1110 1001 1111 */ &cvxyl_rs_rd,
4004 
4005 /* 1110 1010 0000 */ &unimplemented,
4006 /* 1110 1010 0001 */ &unimplemented,
4007 /* 1110 1010 0010 */ &unimplemented,
4008 /* 1110 1010 0011 */ &unimplemented,
4009 /* 1110 1010 0100 */ &unimplemented,
4010 /* 1110 1010 0101 */ &unimplemented,
4011 /* 1110 1010 0110 */ &unimplemented,
4012 /* 1110 1010 0111 */ &unimplemented,
4013 /* 1110 1010 1000 */ &unimplemented,
4014 /* 1110 1010 1001 */ &unimplemented,
4015 /* 1110 1010 1010 */ &unimplemented,
4016 /* 1110 1010 1011 */ &unimplemented,
4017 /* 1110 1010 1100 */ &unimplemented,
4018 /* 1110 1010 1101 */ &unimplemented,
4019 /* 1110 1010 1110 */ &unimplemented,
4020 /* 1110 1010 1111 */ &unimplemented,
4021 
4022 /* 1110 1011 0000 */ &unimplemented,
4023 /* 1110 1011 0001 */ &unimplemented,
4024 /* 1110 1011 0010 */ &unimplemented,
4025 /* 1110 1011 0011 */ &unimplemented,
4026 /* 1110 1011 0100 */ &unimplemented,
4027 /* 1110 1011 0101 */ &unimplemented,
4028 /* 1110 1011 0110 */ &unimplemented,
4029 /* 1110 1011 0111 */ &unimplemented,
4030 /* 1110 1011 1000 */ &unimplemented,
4031 /* 1110 1011 1001 */ &unimplemented,
4032 /* 1110 1011 1010 */ &unimplemented,
4033 /* 1110 1011 1011 */ &unimplemented,
4034 /* 1110 1011 1100 */ &unimplemented,
4035 /* 1110 1011 1101 */ &unimplemented,
4036 /* 1110 1011 1110 */ &unimplemented,
4037 /* 1110 1011 1111 */ &unimplemented,
4038 
4039 /* 1110 1100 0000 */ &movx_rs_rd,
4040 /* 1110 1100 0001 */ &movx_rs_rd,
4041 /* 1110 1100 0010 */ &movx_rs_rd,
4042 /* 1110 1100 0011 */ &movx_rs_rd,
4043 /* 1110 1100 0100 */ &movx_rs_rd,
4044 /* 1110 1100 0101 */ &movx_rs_rd,
4045 /* 1110 1100 0110 */ &movx_rs_rd,
4046 /* 1110 1100 0111 */ &movx_rs_rd,
4047 /* 1110 1100 1000 */ &movx_rs_rd,
4048 /* 1110 1100 1001 */ &movx_rs_rd,
4049 /* 1110 1100 1010 */ &movx_rs_rd,
4050 /* 1110 1100 1011 */ &movx_rs_rd,
4051 /* 1110 1100 1100 */ &movx_rs_rd,
4052 /* 1110 1100 1101 */ &movx_rs_rd,
4053 /* 1110 1100 1110 */ &movx_rs_rd,
4054 /* 1110 1100 1111 */ &movx_rs_rd,
4055 
4056 /* 1110 1101 0000 */ &movx_rs_rd,
4057 /* 1110 1101 0001 */ &movx_rs_rd,
4058 /* 1110 1101 0010 */ &movx_rs_rd,
4059 /* 1110 1101 0011 */ &movx_rs_rd,
4060 /* 1110 1101 0100 */ &movx_rs_rd,
4061 /* 1110 1101 0101 */ &movx_rs_rd,
4062 /* 1110 1101 0110 */ &movx_rs_rd,
4063 /* 1110 1101 0111 */ &movx_rs_rd,
4064 /* 1110 1101 1000 */ &movx_rs_rd,
4065 /* 1110 1101 1001 */ &movx_rs_rd,
4066 /* 1110 1101 1010 */ &movx_rs_rd,
4067 /* 1110 1101 1011 */ &movx_rs_rd,
4068 /* 1110 1101 1100 */ &movx_rs_rd,
4069 /* 1110 1101 1101 */ &movx_rs_rd,
4070 /* 1110 1101 1110 */ &movx_rs_rd,
4071 /* 1110 1101 1111 */ &movx_rs_rd,
4072 
4073 /* 1110 1110 0000 */ &movy_rs_rd,
4074 /* 1110 1110 0001 */ &movy_rs_rd,
4075 /* 1110 1110 0010 */ &movy_rs_rd,
4076 /* 1110 1110 0011 */ &movy_rs_rd,
4077 /* 1110 1110 0100 */ &movy_rs_rd,
4078 /* 1110 1110 0101 */ &movy_rs_rd,
4079 /* 1110 1110 0110 */ &movy_rs_rd,
4080 /* 1110 1110 0111 */ &movy_rs_rd,
4081 /* 1110 1110 1000 */ &movy_rs_rd,
4082 /* 1110 1110 1001 */ &movy_rs_rd,
4083 /* 1110 1110 1010 */ &movy_rs_rd,
4084 /* 1110 1110 1011 */ &movy_rs_rd,
4085 /* 1110 1110 1100 */ &movy_rs_rd,
4086 /* 1110 1110 1101 */ &movy_rs_rd,
4087 /* 1110 1110 1110 */ &movy_rs_rd,
4088 /* 1110 1110 1111 */ &movy_rs_rd,
4089 
4090 /* 1110 1111 0000 */ &movy_rs_rd,
4091 /* 1110 1111 0001 */ &movy_rs_rd,
4092 /* 1110 1111 0010 */ &movy_rs_rd,
4093 /* 1110 1111 0011 */ &movy_rs_rd,
4094 /* 1110 1111 0100 */ &movy_rs_rd,
4095 /* 1110 1111 0101 */ &movy_rs_rd,
4096 /* 1110 1111 0110 */ &movy_rs_rd,
4097 /* 1110 1111 0111 */ &movy_rs_rd,
4098 /* 1110 1111 1000 */ &movy_rs_rd,
4099 /* 1110 1111 1001 */ &movy_rs_rd,
4100 /* 1110 1111 1010 */ &movy_rs_rd,
4101 /* 1110 1111 1011 */ &movy_rs_rd,
4102 /* 1110 1111 1100 */ &movy_rs_rd,
4103 /* 1110 1111 1101 */ &movy_rs_rd,
4104 /* 1110 1111 1110 */ &movy_rs_rd,
4105 /* 1110 1111 1111 */ &movy_rs_rd,
4106 
4107 /* 1111 0000 0000 */ &pixt_rd_irdxy,
4108 /* 1111 0000 0001 */ &pixt_rd_irdxy,
4109 /* 1111 0000 0010 */ &pixt_rd_irdxy,
4110 /* 1111 0000 0011 */ &pixt_rd_irdxy,
4111 /* 1111 0000 0100 */ &pixt_rd_irdxy,
4112 /* 1111 0000 0101 */ &pixt_rd_irdxy,
4113 /* 1111 0000 0110 */ &pixt_rd_irdxy,
4114 /* 1111 0000 0111 */ &pixt_rd_irdxy,
4115 /* 1111 0000 1000 */ &pixt_rd_irdxy,
4116 /* 1111 0000 1001 */ &pixt_rd_irdxy,
4117 /* 1111 0000 1010 */ &pixt_rd_irdxy,
4118 /* 1111 0000 1011 */ &pixt_rd_irdxy,
4119 /* 1111 0000 1100 */ &pixt_rd_irdxy,
4120 /* 1111 0000 1101 */ &pixt_rd_irdxy,
4121 /* 1111 0000 1110 */ &pixt_rd_irdxy,
4122 /* 1111 0000 1111 */ &pixt_rd_irdxy,
4123 
4124 /* 1111 0001 0000 */ &pixt_rd_irdxy,
4125 /* 1111 0001 0001 */ &pixt_rd_irdxy,
4126 /* 1111 0001 0010 */ &pixt_rd_irdxy,
4127 /* 1111 0001 0011 */ &pixt_rd_irdxy,
4128 /* 1111 0001 0100 */ &pixt_rd_irdxy,
4129 /* 1111 0001 0101 */ &pixt_rd_irdxy,
4130 /* 1111 0001 0110 */ &pixt_rd_irdxy,
4131 /* 1111 0001 0111 */ &pixt_rd_irdxy,
4132 /* 1111 0001 1000 */ &pixt_rd_irdxy,
4133 /* 1111 0001 1001 */ &pixt_rd_irdxy,
4134 /* 1111 0001 1010 */ &pixt_rd_irdxy,
4135 /* 1111 0001 1011 */ &pixt_rd_irdxy,
4136 /* 1111 0001 1100 */ &pixt_rd_irdxy,
4137 /* 1111 0001 1101 */ &pixt_rd_irdxy,
4138 /* 1111 0001 1110 */ &pixt_rd_irdxy,
4139 /* 1111 0001 1111 */ &pixt_rd_irdxy,
4140 
4141 /* 1111 0010 0000 */ &unimplemented,
4142 /* 1111 0010 0001 */ &unimplemented,
4143 /* 1111 0010 0010 */ &unimplemented,
4144 /* 1111 0010 0011 */ &unimplemented,
4145 /* 1111 0010 0100 */ &unimplemented,
4146 /* 1111 0010 0101 */ &unimplemented,
4147 /* 1111 0010 0110 */ &unimplemented,
4148 /* 1111 0010 0111 */ &unimplemented,
4149 /* 1111 0010 1000 */ &unimplemented,
4150 /* 1111 0010 1001 */ &unimplemented,
4151 /* 1111 0010 1010 */ &unimplemented,
4152 /* 1111 0010 1011 */ &unimplemented,
4153 /* 1111 0010 1100 */ &unimplemented,
4154 /* 1111 0010 1101 */ &unimplemented,
4155 /* 1111 0010 1110 */ &unimplemented,
4156 /* 1111 0010 1111 */ &unimplemented,
4157 
4158 /* 1111 0011 0000 */ &unimplemented,
4159 /* 1111 0011 0001 */ &unimplemented,
4160 /* 1111 0011 0010 */ &unimplemented,
4161 /* 1111 0011 0011 */ &unimplemented,
4162 /* 1111 0011 0100 */ &unimplemented,
4163 /* 1111 0011 0101 */ &unimplemented,
4164 /* 1111 0011 0110 */ &unimplemented,
4165 /* 1111 0011 0111 */ &unimplemented,
4166 /* 1111 0011 1000 */ &unimplemented,
4167 /* 1111 0011 1001 */ &unimplemented,
4168 /* 1111 0011 1010 */ &unimplemented,
4169 /* 1111 0011 1011 */ &unimplemented,
4170 /* 1111 0011 1100 */ &unimplemented,
4171 /* 1111 0011 1101 */ &unimplemented,
4172 /* 1111 0011 1110 */ &unimplemented,
4173 /* 1111 0011 1111 */ &unimplemented,
4174 
4175 /* 1111 0100 0000 */ &unimplemented,
4176 /* 1111 0100 0001 */ &unimplemented,
4177 /* 1111 0100 0010 */ &unimplemented,
4178 /* 1111 0100 0011 */ &unimplemented,
4179 /* 1111 0100 0100 */ &unimplemented,
4180 /* 1111 0100 0101 */ &unimplemented,
4181 /* 1111 0100 0110 */ &unimplemented,
4182 /* 1111 0100 0111 */ &unimplemented,
4183 /* 1111 0100 1000 */ &unimplemented,
4184 /* 1111 0100 1001 */ &unimplemented,
4185 /* 1111 0100 1010 */ &unimplemented,
4186 /* 1111 0100 1011 */ &unimplemented,
4187 /* 1111 0100 1100 */ &unimplemented,
4188 /* 1111 0100 1101 */ &unimplemented,
4189 /* 1111 0100 1110 */ &unimplemented,
4190 /* 1111 0100 1111 */ &unimplemented,
4191 
4192 /* 1111 0101 0000 */ &unimplemented,
4193 /* 1111 0101 0001 */ &unimplemented,
4194 /* 1111 0101 0010 */ &unimplemented,
4195 /* 1111 0101 0011 */ &unimplemented,
4196 /* 1111 0101 0100 */ &unimplemented,
4197 /* 1111 0101 0101 */ &unimplemented,
4198 /* 1111 0101 0110 */ &unimplemented,
4199 /* 1111 0101 0111 */ &unimplemented,
4200 /* 1111 0101 1000 */ &unimplemented,
4201 /* 1111 0101 1001 */ &unimplemented,
4202 /* 1111 0101 1010 */ &unimplemented,
4203 /* 1111 0101 1011 */ &unimplemented,
4204 /* 1111 0101 1100 */ &unimplemented,
4205 /* 1111 0101 1101 */ &unimplemented,
4206 /* 1111 0101 1110 */ &unimplemented,
4207 /* 1111 0101 1111 */ &unimplemented,
4208 
4209 /* 1111 0110 0000 */ &drav_rs_rd,
4210 /* 1111 0110 0001 */ &drav_rs_rd,
4211 /* 1111 0110 0010 */ &drav_rs_rd,
4212 /* 1111 0110 0011 */ &drav_rs_rd,
4213 /* 1111 0110 0100 */ &drav_rs_rd,
4214 /* 1111 0110 0101 */ &drav_rs_rd,
4215 /* 1111 0110 0110 */ &drav_rs_rd,
4216 /* 1111 0110 0111 */ &drav_rs_rd,
4217 /* 1111 0110 1000 */ &drav_rs_rd,
4218 /* 1111 0110 1001 */ &drav_rs_rd,
4219 /* 1111 0110 1010 */ &drav_rs_rd,
4220 /* 1111 0110 1011 */ &drav_rs_rd,
4221 /* 1111 0110 1100 */ &drav_rs_rd,
4222 /* 1111 0110 1101 */ &drav_rs_rd,
4223 /* 1111 0110 1110 */ &drav_rs_rd,
4224 /* 1111 0110 1111 */ &drav_rs_rd,
4225 
4226 /* 1111 0111 0000 */ &drav_rs_rd,
4227 /* 1111 0111 0001 */ &drav_rs_rd,
4228 /* 1111 0111 0010 */ &drav_rs_rd,
4229 /* 1111 0111 0011 */ &drav_rs_rd,
4230 /* 1111 0111 0100 */ &drav_rs_rd,
4231 /* 1111 0111 0101 */ &drav_rs_rd,
4232 /* 1111 0111 0110 */ &drav_rs_rd,
4233 /* 1111 0111 0111 */ &drav_rs_rd,
4234 /* 1111 0111 1000 */ &drav_rs_rd,
4235 /* 1111 0111 1001 */ &drav_rs_rd,
4236 /* 1111 0111 1010 */ &drav_rs_rd,
4237 /* 1111 0111 1011 */ &drav_rs_rd,
4238 /* 1111 0111 1100 */ &drav_rs_rd,
4239 /* 1111 0111 1101 */ &drav_rs_rd,
4240 /* 1111 0111 1110 */ &drav_rs_rd,
4241 /* 1111 0111 1111 */ &drav_rs_rd,
4242 
4243 /* 1111 1000 0000 */ &unimplemented,
4244 /* 1111 1000 0001 */ &unimplemented,
4245 /* 1111 1000 0010 */ &unimplemented,
4246 /* 1111 1000 0011 */ &unimplemented,
4247 /* 1111 1000 0100 */ &unimplemented,
4248 /* 1111 1000 0101 */ &unimplemented,
4249 /* 1111 1000 0110 */ &unimplemented,
4250 /* 1111 1000 0111 */ &unimplemented,
4251 /* 1111 1000 1000 */ &unimplemented,
4252 /* 1111 1000 1001 */ &unimplemented,
4253 /* 1111 1000 1010 */ &unimplemented,
4254 /* 1111 1000 1011 */ &unimplemented,
4255 /* 1111 1000 1100 */ &unimplemented,
4256 /* 1111 1000 1101 */ &unimplemented,
4257 /* 1111 1000 1110 */ &unimplemented,
4258 /* 1111 1000 1111 */ &unimplemented,
4259 
4260 /* 1111 1001 0000 */ &unimplemented,
4261 /* 1111 1001 0001 */ &unimplemented,
4262 /* 1111 1001 0010 */ &unimplemented,
4263 /* 1111 1001 0011 */ &unimplemented,
4264 /* 1111 1001 0100 */ &unimplemented,
4265 /* 1111 1001 0101 */ &unimplemented,
4266 /* 1111 1001 0110 */ &unimplemented,
4267 /* 1111 1001 0111 */ &unimplemented,
4268 /* 1111 1001 1000 */ &unimplemented,
4269 /* 1111 1001 1001 */ &unimplemented,
4270 /* 1111 1001 1010 */ &unimplemented,
4271 /* 1111 1001 1011 */ &unimplemented,
4272 /* 1111 1001 1100 */ &unimplemented,
4273 /* 1111 1001 1101 */ &unimplemented,
4274 /* 1111 1001 1110 */ &unimplemented,
4275 /* 1111 1001 1111 */ &unimplemented,
4276 
4277 /* 1111 1010 0000 */ &pixt_irs_rd,
4278 /* 1111 1010 0001 */ &pixt_irs_rd,
4279 /* 1111 1010 0010 */ &pixt_irs_rd,
4280 /* 1111 1010 0011 */ &pixt_irs_rd,
4281 /* 1111 1010 0100 */ &pixt_irs_rd,
4282 /* 1111 1010 0101 */ &pixt_irs_rd,
4283 /* 1111 1010 0110 */ &pixt_irs_rd,
4284 /* 1111 1010 0111 */ &pixt_irs_rd,
4285 /* 1111 1010 1000 */ &pixt_irs_rd,
4286 /* 1111 1010 1001 */ &pixt_irs_rd,
4287 /* 1111 1010 1010 */ &pixt_irs_rd,
4288 /* 1111 1010 1011 */ &pixt_irs_rd,
4289 /* 1111 1010 1100 */ &pixt_irs_rd,
4290 /* 1111 1010 1101 */ &pixt_irs_rd,
4291 /* 1111 1010 1110 */ &pixt_irs_rd,
4292 /* 1111 1010 1111 */ &pixt_irs_rd,
4293 
4294 /* 1111 1011 0000 */ &pixt_irs_rd,
4295 /* 1111 1011 0001 */ &pixt_irs_rd,
4296 /* 1111 1011 0010 */ &pixt_irs_rd,
4297 /* 1111 1011 0011 */ &pixt_irs_rd,
4298 /* 1111 1011 0100 */ &pixt_irs_rd,
4299 /* 1111 1011 0101 */ &pixt_irs_rd,
4300 /* 1111 1011 0110 */ &pixt_irs_rd,
4301 /* 1111 1011 0111 */ &pixt_irs_rd,
4302 /* 1111 1011 1000 */ &pixt_irs_rd,
4303 /* 1111 1011 1001 */ &pixt_irs_rd,
4304 /* 1111 1011 1010 */ &pixt_irs_rd,
4305 /* 1111 1011 1011 */ &pixt_irs_rd,
4306 /* 1111 1011 1100 */ &pixt_irs_rd,
4307 /* 1111 1011 1101 */ &pixt_irs_rd,
4308 /* 1111 1011 1110 */ &pixt_irs_rd,
4309 /* 1111 1011 1111 */ &pixt_irs_rd,
4310 
4311 /* 1111 1100 0000 */ &unimplemented,
4312 /* 1111 1100 0001 */ &unimplemented,
4313 /* 1111 1100 0010 */ &unimplemented,
4314 /* 1111 1100 0011 */ &unimplemented,
4315 /* 1111 1100 0100 */ &unimplemented,
4316 /* 1111 1100 0101 */ &unimplemented,
4317 /* 1111 1100 0110 */ &unimplemented,
4318 /* 1111 1100 0111 */ &unimplemented,
4319 /* 1111 1100 1000 */ &unimplemented,
4320 /* 1111 1100 1001 */ &unimplemented,
4321 /* 1111 1100 1010 */ &unimplemented,
4322 /* 1111 1100 1011 */ &unimplemented,
4323 /* 1111 1100 1100 */ &unimplemented,
4324 /* 1111 1100 1101 */ &unimplemented,
4325 /* 1111 1100 1110 */ &unimplemented,
4326 /* 1111 1100 1111 */ &unimplemented,
4327 
4328 /* 1111 1101 0000 */ &unimplemented,
4329 /* 1111 1101 0001 */ &unimplemented,
4330 /* 1111 1101 0010 */ &unimplemented,
4331 /* 1111 1101 0011 */ &unimplemented,
4332 /* 1111 1101 0100 */ &unimplemented,
4333 /* 1111 1101 0101 */ &unimplemented,
4334 /* 1111 1101 0110 */ &unimplemented,
4335 /* 1111 1101 0111 */ &unimplemented,
4336 /* 1111 1101 1000 */ &unimplemented,
4337 /* 1111 1101 1001 */ &unimplemented,
4338 /* 1111 1101 1010 */ &unimplemented,
4339 /* 1111 1101 1011 */ &unimplemented,
4340 /* 1111 1101 1100 */ &unimplemented,
4341 /* 1111 1101 1101 */ &unimplemented,
4342 /* 1111 1101 1110 */ &unimplemented,
4343 /* 1111 1101 1111 */ &unimplemented,
4344 
4345 /* 1111 1110 0000 */ &unimplemented,
4346 /* 1111 1110 0001 */ &unimplemented,
4347 /* 1111 1110 0010 */ &unimplemented,
4348 /* 1111 1110 0011 */ &unimplemented,
4349 /* 1111 1110 0100 */ &unimplemented,
4350 /* 1111 1110 0101 */ &unimplemented,
4351 /* 1111 1110 0110 */ &unimplemented,
4352 /* 1111 1110 0111 */ &unimplemented,
4353 /* 1111 1110 1000 */ &unimplemented,
4354 /* 1111 1110 1001 */ &unimplemented,
4355 /* 1111 1110 1010 */ &unimplemented,
4356 /* 1111 1110 1011 */ &unimplemented,
4357 /* 1111 1110 1100 */ &unimplemented,
4358 /* 1111 1110 1101 */ &unimplemented,
4359 /* 1111 1110 1110 */ &unimplemented,
4360 /* 1111 1110 1111 */ &unimplemented,
4361 
4362 /* 1111 1111 0000 */ &unimplemented,
4363 /* 1111 1111 0001 */ &unimplemented,
4364 /* 1111 1111 0010 */ &unimplemented,
4365 /* 1111 1111 0011 */ &unimplemented,
4366 /* 1111 1111 0100 */ &unimplemented,
4367 /* 1111 1111 0101 */ &unimplemented,
4368 /* 1111 1111 0110 */ &unimplemented,
4369 /* 1111 1111 0111 */ &unimplemented,
4370 /* 1111 1111 1000 */ &unimplemented,
4371 /* 1111 1111 1001 */ &unimplemented,
4372 /* 1111 1111 1010 */ &unimplemented,
4373 /* 1111 1111 1011 */ &unimplemented,
4374 /* 1111 1111 1100 */ &unimplemented,
4375 /* 1111 1111 1101 */ &unimplemented,
4376 /* 1111 1111 1110 */ &unimplemented,
4377 /* 1111 1111 1111 */ &unimplemented,
4378 
4379 };
4380 
4381 }
4382