1 #ifndef _ILUMtx_
2 #define _ILUMtx_
3 #include "ILUMtx/ILUMtx.h"
4 #endif
5