1module main;
2  initial
3    begin
4      $display("Hello world!");
5      $finish;
6    end
7endmodule
8
9// from http://en.wikipedia.org/wiki/Verilog#Example
10// Text is available under the Creative Commons Attribution-ShareAlike License
11