1/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2|*                                                                            *|
3|* Subtarget Enumeration Source Fragment                                      *|
4|*                                                                            *|
5|* Automatically generated file, do not edit!                                 *|
6|*                                                                            *|
7\*===----------------------------------------------------------------------===*/
8
9
10#ifdef GET_SUBTARGETINFO_ENUM
11#undef GET_SUBTARGETINFO_ENUM
12
13namespace llvm {
14namespace AArch64 {
15enum {
16  FeatureAES = 0,
17  FeatureAM = 1,
18  FeatureAggressiveFMA = 2,
19  FeatureAltFPCmp = 3,
20  FeatureAlternateSExtLoadCVTF32Pattern = 4,
21  FeatureArithmeticBccFusion = 5,
22  FeatureArithmeticCbzFusion = 6,
23  FeatureBalanceFPOps = 7,
24  FeatureBranchTargetId = 8,
25  FeatureCCIDX = 9,
26  FeatureCCPP = 10,
27  FeatureCRC = 11,
28  FeatureCacheDeepPersist = 12,
29  FeatureCallSavedX8 = 13,
30  FeatureCallSavedX9 = 14,
31  FeatureCallSavedX10 = 15,
32  FeatureCallSavedX11 = 16,
33  FeatureCallSavedX12 = 17,
34  FeatureCallSavedX13 = 18,
35  FeatureCallSavedX14 = 19,
36  FeatureCallSavedX15 = 20,
37  FeatureCallSavedX18 = 21,
38  FeatureComplxNum = 22,
39  FeatureCrypto = 23,
40  FeatureCustomCheapAsMoveHandling = 24,
41  FeatureDIT = 25,
42  FeatureDisableLatencySchedHeuristic = 26,
43  FeatureDotProd = 27,
44  FeatureETE = 28,
45  FeatureExynosCheapAsMoveHandling = 29,
46  FeatureFMI = 30,
47  FeatureFP16FML = 31,
48  FeatureFPARMv8 = 32,
49  FeatureFRInt3264 = 33,
50  FeatureForce32BitJumpTables = 34,
51  FeatureFullFP16 = 35,
52  FeatureFuseAES = 36,
53  FeatureFuseAddress = 37,
54  FeatureFuseArithmeticLogic = 38,
55  FeatureFuseCCSelect = 39,
56  FeatureFuseCryptoEOR = 40,
57  FeatureFuseLiterals = 41,
58  FeatureJS = 42,
59  FeatureLOR = 43,
60  FeatureLSE = 44,
61  FeatureLSLFast = 45,
62  FeatureMPAM = 46,
63  FeatureMTE = 47,
64  FeatureNEON = 48,
65  FeatureNV = 49,
66  FeatureNoNegativeImmediates = 50,
67  FeaturePA = 51,
68  FeaturePAN = 52,
69  FeaturePAN_RWV = 53,
70  FeaturePMU = 54,
71  FeaturePerfMon = 55,
72  FeaturePostRAScheduler = 56,
73  FeaturePredRes = 57,
74  FeaturePredictableSelectIsExpensive = 58,
75  FeaturePsUAO = 59,
76  FeatureRAS = 60,
77  FeatureRASv8_4 = 61,
78  FeatureRCPC = 62,
79  FeatureRCPC_IMMO = 63,
80  FeatureRDM = 64,
81  FeatureRandGen = 65,
82  FeatureReserveX1 = 66,
83  FeatureReserveX2 = 67,
84  FeatureReserveX3 = 68,
85  FeatureReserveX4 = 69,
86  FeatureReserveX5 = 70,
87  FeatureReserveX6 = 71,
88  FeatureReserveX7 = 72,
89  FeatureReserveX9 = 73,
90  FeatureReserveX10 = 74,
91  FeatureReserveX11 = 75,
92  FeatureReserveX12 = 76,
93  FeatureReserveX13 = 77,
94  FeatureReserveX14 = 78,
95  FeatureReserveX15 = 79,
96  FeatureReserveX18 = 80,
97  FeatureReserveX20 = 81,
98  FeatureReserveX21 = 82,
99  FeatureReserveX22 = 83,
100  FeatureReserveX23 = 84,
101  FeatureReserveX24 = 85,
102  FeatureReserveX25 = 86,
103  FeatureReserveX26 = 87,
104  FeatureReserveX27 = 88,
105  FeatureReserveX28 = 89,
106  FeatureSB = 90,
107  FeatureSEL2 = 91,
108  FeatureSHA2 = 92,
109  FeatureSHA3 = 93,
110  FeatureSM4 = 94,
111  FeatureSPE = 95,
112  FeatureSSBS = 96,
113  FeatureSVE = 97,
114  FeatureSVE2 = 98,
115  FeatureSVE2AES = 99,
116  FeatureSVE2BitPerm = 100,
117  FeatureSVE2SHA3 = 101,
118  FeatureSVE2SM4 = 102,
119  FeatureSlowMisaligned128Store = 103,
120  FeatureSlowPaired128 = 104,
121  FeatureSlowSTRQro = 105,
122  FeatureSpecRestrict = 106,
123  FeatureStrictAlign = 107,
124  FeatureTLB_RMI = 108,
125  FeatureTME = 109,
126  FeatureTRACEV8_4 = 110,
127  FeatureTRBE = 111,
128  FeatureTaggedGlobals = 112,
129  FeatureUseAA = 113,
130  FeatureUseEL1ForTP = 114,
131  FeatureUseEL2ForTP = 115,
132  FeatureUseEL3ForTP = 116,
133  FeatureUseRSqrt = 117,
134  FeatureVH = 118,
135  FeatureZCRegMove = 119,
136  FeatureZCZeroing = 120,
137  FeatureZCZeroingFP = 121,
138  FeatureZCZeroingFPWorkaround = 122,
139  FeatureZCZeroingGP = 123,
140  HasV8_1aOps = 124,
141  HasV8_2aOps = 125,
142  HasV8_3aOps = 126,
143  HasV8_4aOps = 127,
144  HasV8_5aOps = 128,
145  ProcA35 = 129,
146  ProcA53 = 130,
147  ProcA55 = 131,
148  ProcA57 = 132,
149  ProcA65 = 133,
150  ProcA72 = 134,
151  ProcA73 = 135,
152  ProcA75 = 136,
153  ProcA76 = 137,
154  ProcAppleA7 = 138,
155  ProcAppleA10 = 139,
156  ProcAppleA11 = 140,
157  ProcAppleA12 = 141,
158  ProcAppleA13 = 142,
159  ProcExynosM3 = 143,
160  ProcExynosM4 = 144,
161  ProcFalkor = 145,
162  ProcKryo = 146,
163  ProcNeoverseE1 = 147,
164  ProcNeoverseN1 = 148,
165  ProcSaphira = 149,
166  ProcTSV110 = 150,
167  ProcThunderX = 151,
168  ProcThunderX2T99 = 152,
169  ProcThunderXT81 = 153,
170  ProcThunderXT83 = 154,
171  ProcThunderXT88 = 155,
172  NumSubtargetFeatures = 156
173};
174} // end namespace AArch64
175} // end namespace llvm
176
177#endif // GET_SUBTARGETINFO_ENUM
178
179
180#ifdef GET_SUBTARGETINFO_MC_DESC
181#undef GET_SUBTARGETINFO_MC_DESC
182
183namespace llvm {
184// Sorted (by key) array of values for CPU features.
185extern const llvm::SubtargetFeatureKV AArch64FeatureKV[] = {
186  { "a35", "Cortex-A35 ARM processors", AArch64::ProcA35, { { { 0x81000100800800ULL, 0x0ULL, 0x0ULL, } } } },
187  { "a53", "Cortex-A53 ARM processors", AArch64::ProcA53, { { { 0x181001101800880ULL, 0x2000000000000ULL, 0x0ULL, } } } },
188  { "a55", "Cortex-A55 ARM processors", AArch64::ProcA55, { { { 0x4081001908800000ULL, 0x2000000000000000ULL, 0x0ULL, } } } },
189  { "a57", "Cortex-A57 ARM processors", AArch64::ProcA57, { { { 0x581021101800880ULL, 0x0ULL, 0x0ULL, } } } },
190  { "a65", "Cortex-A65 ARM processors", AArch64::ProcA65, { { { 0x5001000908800000ULL, 0x2000000100000000ULL, 0x0ULL, } } } },
191  { "a72", "Cortex-A72 ARM processors", AArch64::ProcA72, { { { 0x81001100800800ULL, 0x0ULL, 0x0ULL, } } } },
192  { "a73", "Cortex-A73 ARM processors", AArch64::ProcA73, { { { 0x81001100800800ULL, 0x0ULL, 0x0ULL, } } } },
193  { "a75", "Cortex-A75 ARM processors", AArch64::ProcA75, { { { 0x4081001908800000ULL, 0x2000000000000000ULL, 0x0ULL, } } } },
194  { "a76", "Cortex-A76 ARM processors", AArch64::ProcA76, { { { 0x4001000908800000ULL, 0x2000000100000000ULL, 0x0ULL, } } } },
195  { "aes", "Enable AES support", AArch64::FeatureAES, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
196  { "aggressive-fma", "Enable Aggressive FMA for floating-point.", AArch64::FeatureAggressiveFMA, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
197  { "alternate-sextload-cvt-f32-pattern", "Use alternative pattern for sextload convert to f32", AArch64::FeatureAlternateSExtLoadCVTF32Pattern, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
198  { "altnzcv", "Enable alternative NZCV format for floating point comparisons", AArch64::FeatureAltFPCmp, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
199  { "am", "Enable v8.4-A Activity Monitors extension", AArch64::FeatureAM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
200  { "apple-a10", "Apple A10", AArch64::ProcAppleA10, { { { 0x91091104800870ULL, 0x1c0000000000001ULL, 0x0ULL, } } } },
201  { "apple-a11", "Apple A11", AArch64::ProcAppleA11, { { { 0x81011904800070ULL, 0x2180000000000000ULL, 0x0ULL, } } } },
202  { "apple-a12", "Apple A12", AArch64::ProcAppleA12, { { { 0x81011904800070ULL, 0x4180000000000000ULL, 0x0ULL, } } } },
203  { "apple-a13", "Apple A13", AArch64::ProcAppleA13, { { { 0x81011984800070ULL, 0x8180000020000000ULL, 0x0ULL, } } } },
204  { "apple-a7", "Apple A7 (the CPU formerly known as Cyclone)", AArch64::ProcAppleA7, { { { 0x81011104800070ULL, 0x580000000000000ULL, 0x0ULL, } } } },
205  { "arith-bcc-fusion", "CPU fuses arithmetic+bcc operations", AArch64::FeatureArithmeticBccFusion, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
206  { "arith-cbz-fusion", "CPU fuses arithmetic + cbz/cbnz operations", AArch64::FeatureArithmeticCbzFusion, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
207  { "balance-fp-ops", "balance mix of odd and even D-registers for fp multiply(-accumulate) ops", AArch64::FeatureBalanceFPOps, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
208  { "bti", "Enable Branch Target Identification", AArch64::FeatureBranchTargetId, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
209  { "call-saved-x10", "Make X10 callee saved.", AArch64::FeatureCallSavedX10, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
210  { "call-saved-x11", "Make X11 callee saved.", AArch64::FeatureCallSavedX11, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
211  { "call-saved-x12", "Make X12 callee saved.", AArch64::FeatureCallSavedX12, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
212  { "call-saved-x13", "Make X13 callee saved.", AArch64::FeatureCallSavedX13, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
213  { "call-saved-x14", "Make X14 callee saved.", AArch64::FeatureCallSavedX14, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
214  { "call-saved-x15", "Make X15 callee saved.", AArch64::FeatureCallSavedX15, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
215  { "call-saved-x18", "Make X18 callee saved.", AArch64::FeatureCallSavedX18, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
216  { "call-saved-x8", "Make X8 callee saved.", AArch64::FeatureCallSavedX8, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
217  { "call-saved-x9", "Make X9 callee saved.", AArch64::FeatureCallSavedX9, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
218  { "ccdp", "Enable v8.5 Cache Clean to Point of Deep Persistence", AArch64::FeatureCacheDeepPersist, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
219  { "ccidx", "Enable v8.3-A Extend of the CCSIDR number of sets", AArch64::FeatureCCIDX, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
220  { "ccpp", "Enable v8.2 data Cache Clean to Point of Persistence", AArch64::FeatureCCPP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
221  { "complxnum", "Enable v8.3-A Floating-point complex number support", AArch64::FeatureComplxNum, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
222  { "crc", "Enable ARMv8 CRC-32 checksum instructions", AArch64::FeatureCRC, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
223  { "crypto", "Enable cryptographic instructions", AArch64::FeatureCrypto, { { { 0x1000000000001ULL, 0x10000000ULL, 0x0ULL, } } } },
224  { "custom-cheap-as-move", "Use custom handling of cheap instructions", AArch64::FeatureCustomCheapAsMoveHandling, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
225  { "disable-latency-sched-heuristic", "Disable latency scheduling heuristic", AArch64::FeatureDisableLatencySchedHeuristic, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
226  { "dit", "Enable v8.4-A Data Independent Timing instructions", AArch64::FeatureDIT, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
227  { "dotprod", "Enable dot product support", AArch64::FeatureDotProd, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
228  { "ete", "Enable Embedded Trace Extension", AArch64::FeatureETE, { { { 0x0ULL, 0x800000000000ULL, 0x0ULL, } } } },
229  { "exynos-cheap-as-move", "Use Exynos specific handling of cheap instructions", AArch64::FeatureExynosCheapAsMoveHandling, { { { 0x1000000ULL, 0x0ULL, 0x0ULL, } } } },
230  { "exynosm3", "Samsung Exynos-M3 processors", AArch64::ProcExynosM3, { { { 0x58022b420800800ULL, 0x200000000000000ULL, 0x0ULL, } } } },
231  { "exynosm4", "Samsung Exynos-M4 processors", AArch64::ProcExynosM4, { { { 0x18022fc28800060ULL, 0x2100000000000000ULL, 0x0ULL, } } } },
232  { "falkor", "Qualcomm Falkor processors", AArch64::ProcFalkor, { { { 0x581200101800800ULL, 0x100020000000001ULL, 0x0ULL, } } } },
233  { "fmi", "Enable v8.4-A Flag Manipulation Instructions", AArch64::FeatureFMI, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
234  { "force-32bit-jump-tables", "Force jump table entries to be 32-bits wide except at MinSize", AArch64::FeatureForce32BitJumpTables, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
235  { "fp-armv8", "Enable ARMv8 FP", AArch64::FeatureFPARMv8, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
236  { "fp16fml", "Enable FP16 FML instructions", AArch64::FeatureFP16FML, { { { 0x800000000ULL, 0x0ULL, 0x0ULL, } } } },
237  { "fptoint", "Enable FRInt[32|64][Z|X] instructions that round a floating-point number to an integer (in FP format) forcing it to fit into a 32- or 64-bit int", AArch64::FeatureFRInt3264, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
238  { "fullfp16", "Full FP16", AArch64::FeatureFullFP16, { { { 0x100000000ULL, 0x0ULL, 0x0ULL, } } } },
239  { "fuse-address", "CPU fuses address generation and memory operations", AArch64::FeatureFuseAddress, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
240  { "fuse-aes", "CPU fuses AES crypto operations", AArch64::FeatureFuseAES, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
241  { "fuse-arith-logic", "CPU fuses arithmetic and logic operations", AArch64::FeatureFuseArithmeticLogic, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
242  { "fuse-crypto-eor", "CPU fuses AES/PMULL and EOR operations", AArch64::FeatureFuseCryptoEOR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
243  { "fuse-csel", "CPU fuses conditional select operations", AArch64::FeatureFuseCCSelect, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
244  { "fuse-literals", "CPU fuses literal generation operations", AArch64::FeatureFuseLiterals, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
245  { "jsconv", "Enable v8.3-A JavaScript FP conversion enchancement", AArch64::FeatureJS, { { { 0x100000000ULL, 0x0ULL, 0x0ULL, } } } },
246  { "kryo", "Qualcomm Kryo processors", AArch64::ProcKryo, { { { 0x581200101800800ULL, 0x100000000000000ULL, 0x0ULL, } } } },
247  { "lor", "Enables ARM v8.1 Limited Ordering Regions extension", AArch64::FeatureLOR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
248  { "lse", "Enable ARMv8.1 Large System Extension (LSE) atomic instructions", AArch64::FeatureLSE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
249  { "lsl-fast", "CPU has a fastpath logical shift of up to 3 places", AArch64::FeatureLSLFast, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
250  { "mpam", "Enable v8.4-A Memory system Partitioning and Monitoring extension", AArch64::FeatureMPAM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
251  { "mte", "Enable Memory Tagging Extension", AArch64::FeatureMTE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
252  { "neon", "Enable Advanced SIMD instructions", AArch64::FeatureNEON, { { { 0x100000000ULL, 0x0ULL, 0x0ULL, } } } },
253  { "neoversee1", "Neoverse E1 ARM processors", AArch64::ProcNeoverseE1, { { { 0x4001000908800000ULL, 0x2000000100000000ULL, 0x0ULL, } } } },
254  { "neoversen1", "Neoverse N1 ARM processors", AArch64::ProcNeoverseN1, { { { 0x4001000908800000ULL, 0x2000000180000000ULL, 0x0ULL, } } } },
255  { "no-neg-immediates", "Convert immediates and instructions to their negated or complemented equivalent when the immediate does not fit in the encoding.", AArch64::FeatureNoNegativeImmediates, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
256  { "nv", "Enable v8.4-A Nested Virtualization Enchancement", AArch64::FeatureNV, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
257  { "pa", "Enable v8.3-A Pointer Authentication enchancement", AArch64::FeaturePA, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
258  { "pan", "Enables ARM v8.1 Privileged Access-Never extension", AArch64::FeaturePAN, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
259  { "pan-rwv", "Enable v8.2 PAN s1e1R and s1e1W Variants", AArch64::FeaturePAN_RWV, { { { 0x10000000000000ULL, 0x0ULL, 0x0ULL, } } } },
260  { "perfmon", "Enable ARMv8 PMUv3 Performance Monitors extension", AArch64::FeaturePerfMon, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
261  { "pmu", "Enable v8.4-A PMU extension", AArch64::FeaturePMU, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
262  { "predictable-select-expensive", "Prefer likely predicted branches over selects", AArch64::FeaturePredictableSelectIsExpensive, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
263  { "predres", "Enable v8.5a execution and data prediction invalidation instructions", AArch64::FeaturePredRes, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
264  { "rand", "Enable Random Number generation instructions", AArch64::FeatureRandGen, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
265  { "ras", "Enable ARMv8 Reliability, Availability and Serviceability Extensions", AArch64::FeatureRAS, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
266  { "rasv8_4", "Enable v8.4-A Reliability, Availability and Serviceability extension", AArch64::FeatureRASv8_4, { { { 0x1000000000000000ULL, 0x0ULL, 0x0ULL, } } } },
267  { "rcpc", "Enable support for RCPC extension", AArch64::FeatureRCPC, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
268  { "rcpc-immo", "Enable v8.4-A RCPC instructions with Immediate Offsets", AArch64::FeatureRCPC_IMMO, { { { 0x4000000000000000ULL, 0x0ULL, 0x0ULL, } } } },
269  { "rdm", "Enable ARMv8.1 Rounding Double Multiply Add/Subtract instructions", AArch64::FeatureRDM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
270  { "reserve-x1", "Reserve X1, making it unavailable as a GPR", AArch64::FeatureReserveX1, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
271  { "reserve-x10", "Reserve X10, making it unavailable as a GPR", AArch64::FeatureReserveX10, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
272  { "reserve-x11", "Reserve X11, making it unavailable as a GPR", AArch64::FeatureReserveX11, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
273  { "reserve-x12", "Reserve X12, making it unavailable as a GPR", AArch64::FeatureReserveX12, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
274  { "reserve-x13", "Reserve X13, making it unavailable as a GPR", AArch64::FeatureReserveX13, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
275  { "reserve-x14", "Reserve X14, making it unavailable as a GPR", AArch64::FeatureReserveX14, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
276  { "reserve-x15", "Reserve X15, making it unavailable as a GPR", AArch64::FeatureReserveX15, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
277  { "reserve-x18", "Reserve X18, making it unavailable as a GPR", AArch64::FeatureReserveX18, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
278  { "reserve-x2", "Reserve X2, making it unavailable as a GPR", AArch64::FeatureReserveX2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
279  { "reserve-x20", "Reserve X20, making it unavailable as a GPR", AArch64::FeatureReserveX20, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
280  { "reserve-x21", "Reserve X21, making it unavailable as a GPR", AArch64::FeatureReserveX21, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
281  { "reserve-x22", "Reserve X22, making it unavailable as a GPR", AArch64::FeatureReserveX22, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
282  { "reserve-x23", "Reserve X23, making it unavailable as a GPR", AArch64::FeatureReserveX23, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
283  { "reserve-x24", "Reserve X24, making it unavailable as a GPR", AArch64::FeatureReserveX24, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
284  { "reserve-x25", "Reserve X25, making it unavailable as a GPR", AArch64::FeatureReserveX25, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
285  { "reserve-x26", "Reserve X26, making it unavailable as a GPR", AArch64::FeatureReserveX26, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
286  { "reserve-x27", "Reserve X27, making it unavailable as a GPR", AArch64::FeatureReserveX27, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
287  { "reserve-x28", "Reserve X28, making it unavailable as a GPR", AArch64::FeatureReserveX28, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
288  { "reserve-x3", "Reserve X3, making it unavailable as a GPR", AArch64::FeatureReserveX3, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
289  { "reserve-x4", "Reserve X4, making it unavailable as a GPR", AArch64::FeatureReserveX4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
290  { "reserve-x5", "Reserve X5, making it unavailable as a GPR", AArch64::FeatureReserveX5, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
291  { "reserve-x6", "Reserve X6, making it unavailable as a GPR", AArch64::FeatureReserveX6, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
292  { "reserve-x7", "Reserve X7, making it unavailable as a GPR", AArch64::FeatureReserveX7, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
293  { "reserve-x9", "Reserve X9, making it unavailable as a GPR", AArch64::FeatureReserveX9, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
294  { "saphira", "Qualcomm Saphira processors", AArch64::ProcSaphira, { { { 0x581200101800000ULL, 0x8100000080000000ULL, 0x0ULL, } } } },
295  { "sb", "Enable v8.5 Speculation Barrier", AArch64::FeatureSB, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
296  { "sel2", "Enable v8.4-A Secure Exception Level 2 extension", AArch64::FeatureSEL2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
297  { "sha2", "Enable SHA1 and SHA256 support", AArch64::FeatureSHA2, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
298  { "sha3", "Enable SHA512 and SHA3 support", AArch64::FeatureSHA3, { { { 0x1000000000000ULL, 0x10000000ULL, 0x0ULL, } } } },
299  { "slow-misaligned-128store", "Misaligned 128 bit stores are slow", AArch64::FeatureSlowMisaligned128Store, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
300  { "slow-paired-128", "Paired 128 bit loads and stores are slow", AArch64::FeatureSlowPaired128, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
301  { "slow-strqro-store", "STR of Q register with register offset is slow", AArch64::FeatureSlowSTRQro, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
302  { "sm4", "Enable SM3 and SM4 support", AArch64::FeatureSM4, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
303  { "spe", "Enable Statistical Profiling extension", AArch64::FeatureSPE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
304  { "specrestrict", "Enable architectural speculation restriction", AArch64::FeatureSpecRestrict, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
305  { "ssbs", "Enable Speculative Store Bypass Safe bit", AArch64::FeatureSSBS, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
306  { "strict-align", "Disallow all unaligned memory access", AArch64::FeatureStrictAlign, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
307  { "sve", "Enable Scalable Vector Extension (SVE) instructions", AArch64::FeatureSVE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
308  { "sve2", "Enable Scalable Vector Extension 2 (SVE2) instructions", AArch64::FeatureSVE2, { { { 0x0ULL, 0x200000000ULL, 0x0ULL, } } } },
309  { "sve2-aes", "Enable AES SVE2 instructions", AArch64::FeatureSVE2AES, { { { 0x1ULL, 0x400000000ULL, 0x0ULL, } } } },
310  { "sve2-bitperm", "Enable bit permutation SVE2 instructions", AArch64::FeatureSVE2BitPerm, { { { 0x0ULL, 0x400000000ULL, 0x0ULL, } } } },
311  { "sve2-sha3", "Enable SHA3 SVE2 instructions", AArch64::FeatureSVE2SHA3, { { { 0x0ULL, 0x420000000ULL, 0x0ULL, } } } },
312  { "sve2-sm4", "Enable SM4 SVE2 instructions", AArch64::FeatureSVE2SM4, { { { 0x0ULL, 0x440000000ULL, 0x0ULL, } } } },
313  { "tagged-globals", "Use an instruction sequence for taking the address of a global that allows a memory tag in the upper address bits", AArch64::FeatureTaggedGlobals, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
314  { "thunderx", "Cavium ThunderX processors", AArch64::ProcThunderX, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
315  { "thunderx2t99", "Cavium ThunderX2 processors", AArch64::ProcThunderX2T99, { { { 0x501100100800824ULL, 0x1000000000000000ULL, 0x0ULL, } } } },
316  { "thunderxt81", "Cavium ThunderX processors", AArch64::ProcThunderXT81, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
317  { "thunderxt83", "Cavium ThunderX processors", AArch64::ProcThunderXT83, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
318  { "thunderxt88", "Cavium ThunderX processors", AArch64::ProcThunderXT88, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
319  { "tlb-rmi", "Enable v8.4-A TLB Range and Maintenance Instructions", AArch64::FeatureTLB_RMI, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
320  { "tme", "Enable Transactional Memory Extension", AArch64::FeatureTME, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
321  { "tpidr-el1", "Permit use of TPIDR_EL1 for the TLS base", AArch64::FeatureUseEL1ForTP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
322  { "tpidr-el2", "Permit use of TPIDR_EL2 for the TLS base", AArch64::FeatureUseEL2ForTP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
323  { "tpidr-el3", "Permit use of TPIDR_EL3 for the TLS base", AArch64::FeatureUseEL3ForTP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
324  { "tracev8.4", "Enable v8.4-A Trace extension", AArch64::FeatureTRACEV8_4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
325  { "trbe", "Enable Trace Buffer Extension", AArch64::FeatureTRBE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
326  { "tsv110", "HiSilicon TS-V110 processors", AArch64::ProcTSV110, { { { 0x181001989800000ULL, 0x2000000080000000ULL, 0x0ULL, } } } },
327  { "uaops", "Enable v8.2 UAO PState", AArch64::FeaturePsUAO, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
328  { "use-aa", "Use alias analysis during codegen", AArch64::FeatureUseAA, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
329  { "use-postra-scheduler", "Schedule again after register allocation", AArch64::FeaturePostRAScheduler, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
330  { "use-reciprocal-square-root", "Use the reciprocal square root approximation", AArch64::FeatureUseRSqrt, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
331  { "v8.1a", "Support ARM v8.1a instructions", AArch64::HasV8_1aOps, { { { 0x10180000000800ULL, 0x40000000000001ULL, 0x0ULL, } } } },
332  { "v8.2a", "Support ARM v8.2a instructions", AArch64::HasV8_2aOps, { { { 0x1820000000000400ULL, 0x1000000000000000ULL, 0x0ULL, } } } },
333  { "v8.3a", "Support ARM v8.3a instructions", AArch64::HasV8_3aOps, { { { 0x4008040000400200ULL, 0x2000000000000000ULL, 0x0ULL, } } } },
334  { "v8.4a", "Support ARM v8.4a instructions", AArch64::HasV8_4aOps, { { { 0xa04240004a000002ULL, 0x4000500008000000ULL, 0x0ULL, } } } },
335  { "v8.5a", "Support ARM v8.5a instructions", AArch64::HasV8_5aOps, { { { 0x200000200001108ULL, 0x8000040104000000ULL, 0x0ULL, } } } },
336  { "vh", "Enables ARM v8.1 Virtual Host extension", AArch64::FeatureVH, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
337  { "zcm", "Has zero-cycle register moves", AArch64::FeatureZCRegMove, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
338  { "zcz", "Has zero-cycle zeroing instructions", AArch64::FeatureZCZeroing, { { { 0x0ULL, 0xa00000000000000ULL, 0x0ULL, } } } },
339  { "zcz-fp", "Has zero-cycle zeroing instructions for FP registers", AArch64::FeatureZCZeroingFP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
340  { "zcz-fp-workaround", "The zero-cycle floating-point zeroing instruction has a bug", AArch64::FeatureZCZeroingFPWorkaround, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
341  { "zcz-gp", "Has zero-cycle zeroing instructions for generic registers", AArch64::FeatureZCZeroingGP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
342};
343
344#ifdef DBGFIELD
345#error "<target>GenSubtargetInfo.inc requires a DBGFIELD macro"
346#endif
347#if !defined(NDEBUG) || defined(LLVM_ENABLE_DUMP)
348#define DBGFIELD(x) x,
349#else
350#define DBGFIELD(x)
351#endif
352
353// ===============================================================
354// Data tables for the new per-operand machine model.
355
356// {ProcResourceIdx, Cycles}
357extern const llvm::MCWriteProcResEntry AArch64WriteProcResTable[] = {
358  { 0,  0}, // Invalid
359  {10,  1}, // #1
360  { 4,  1}, // #2
361  { 4,  2}, // #3
362  { 7,  2}, // #4
363  { 4,  1}, // #5
364  { 7,  1}, // #6
365  { 1,  1}, // #7
366  { 4,  1}, // #8
367  { 1,  1}, // #9
368  { 2,  1}, // #10
369  { 4,  1}, // #11
370  { 9,  1}, // #12
371  {10,  1}, // #13
372  {11,  1}, // #14
373  {13,  1}, // #15
374  { 3, 17}, // #16
375  {10,  2}, // #17
376  {12,  2}, // #18
377  {10,  1}, // #19
378  {13,  1}, // #20
379  { 1,  1}, // #21
380  { 2,  1}, // #22
381  { 4,  1}, // #23
382  { 6,  1}, // #24
383  { 1,  2}, // #25
384  { 4,  2}, // #26
385  { 5,  2}, // #27
386  { 8, 10}, // #28
387  { 1,  2}, // #29
388  { 4,  2}, // #30
389  { 5,  2}, // #31
390  { 8, 13}, // #32
391  { 9,  2}, // #33
392  { 1,  1}, // #34
393  { 2,  1}, // #35
394  { 4,  3}, // #36
395  { 9,  1}, // #37
396  { 9,  3}, // #38
397  { 9,  4}, // #39
398  { 4,  1}, // #40
399  { 9,  2}, // #41
400  { 4,  1}, // #42
401  { 9,  3}, // #43
402  { 4,  1}, // #44
403  { 9,  4}, // #45
404  { 9,  1}, // #46
405  {10,  2}, // #47
406  { 9,  2}, // #48
407  {10,  2}, // #49
408  { 4,  1}, // #50
409  { 9,  1}, // #51
410  {10,  2}, // #52
411  { 4,  1}, // #53
412  { 9,  2}, // #54
413  {10,  2}, // #55
414  { 9,  2}, // #56
415  {10,  3}, // #57
416  { 9,  3}, // #58
417  {10,  3}, // #59
418  { 4,  1}, // #60
419  { 9,  2}, // #61
420  {10,  3}, // #62
421  { 4,  1}, // #63
422  { 9,  3}, // #64
423  {10,  3}, // #65
424  { 9,  2}, // #66
425  {10,  4}, // #67
426  { 9,  4}, // #68
427  {10,  8}, // #69
428  { 4,  1}, // #70
429  { 9,  2}, // #71
430  {10,  4}, // #72
431  { 4,  1}, // #73
432  { 9,  4}, // #74
433  {10,  8}, // #75
434  {10,  1}, // #76
435  {12,  1}, // #77
436  { 9,  1}, // #78
437  {10,  3}, // #79
438  { 4,  1}, // #80
439  { 9,  1}, // #81
440  {10,  3}, // #82
441  { 9,  1}, // #83
442  {10,  4}, // #84
443  { 4,  1}, // #85
444  { 9,  1}, // #86
445  {10,  4}, // #87
446  { 9,  2}, // #88
447  {10,  1}, // #89
448  { 4,  1}, // #90
449  { 9,  2}, // #91
450  {10,  1}, // #92
451  { 4,  1}, // #93
452  { 7,  1}, // #94
453  { 9,  1}, // #95
454  { 5, 29}, // #96
455  { 5,  1}, // #97
456  { 6,  2}, // #98
457  { 3,  1}, // #99
458  { 1,  2}, // #100
459  { 2,  1}, // #101
460  { 6,  1}, // #102
461  { 6,  3}, // #103
462  { 6,  4}, // #104
463  { 6,  5}, // #105
464  { 5, 14}, // #106
465  { 5, 13}, // #107
466  { 5, 28}, // #108
467  { 6, 17}, // #109
468  { 7, 17}, // #110
469  { 2,  1}, // #111
470  { 3,  1}, // #112
471  { 2,  2}, // #113
472  { 4, 19}, // #114
473  { 4, 35}, // #115
474  { 2,  1}, // #116
475  { 5,  1}, // #117
476  { 3,  1}, // #118
477  { 5,  1}, // #119
478  { 1,  1}, // #120
479  { 2,  2}, // #121
480  { 3,  1}, // #122
481  { 3,  1}, // #123
482  { 6,  1}, // #124
483  { 3,  2}, // #125
484  { 3,  3}, // #126
485  { 3,  4}, // #127
486  { 2,  1}, // #128
487  { 3,  1}, // #129
488  { 6,  1}, // #130
489  { 2,  1}, // #131
490  { 3,  2}, // #132
491  { 2,  1}, // #133
492  { 3,  3}, // #134
493  { 2,  1}, // #135
494  { 3,  4}, // #136
495  { 3,  1}, // #137
496  { 6,  2}, // #138
497  { 2,  1}, // #139
498  { 3,  1}, // #140
499  { 6,  2}, // #141
500  { 3,  2}, // #142
501  { 6,  3}, // #143
502  { 3,  3}, // #144
503  { 6,  4}, // #145
504  { 2,  1}, // #146
505  { 3,  2}, // #147
506  { 6,  3}, // #148
507  { 2,  1}, // #149
508  { 3,  3}, // #150
509  { 6,  4}, // #151
510  { 3,  2}, // #152
511  { 6,  4}, // #153
512  { 3,  4}, // #154
513  { 6,  4}, // #155
514  { 2,  1}, // #156
515  { 3,  2}, // #157
516  { 6,  4}, // #158
517  { 2,  1}, // #159
518  { 3,  4}, // #160
519  { 6,  4}, // #161
520  { 5,  1}, // #162
521  { 6,  1}, // #163
522  { 5,  4}, // #164
523  { 5,  6}, // #165
524  { 5,  8}, // #166
525  { 2,  1}, // #167
526  { 5,  1}, // #168
527  { 6,  1}, // #169
528  { 2,  1}, // #170
529  { 5,  2}, // #171
530  { 2,  1}, // #172
531  { 5,  4}, // #173
532  { 2,  1}, // #174
533  { 5,  6}, // #175
534  { 2,  1}, // #176
535  { 5,  8}, // #177
536  { 5,  2}, // #178
537  { 6,  1}, // #179
538  { 2,  1}, // #180
539  { 5,  2}, // #181
540  { 6,  1}, // #182
541  { 5,  6}, // #183
542  { 6,  4}, // #184
543  { 2,  1}, // #185
544  { 5,  6}, // #186
545  { 6,  4}, // #187
546  { 5,  8}, // #188
547  { 6,  4}, // #189
548  { 2,  1}, // #190
549  { 5,  8}, // #191
550  { 6,  4}, // #192
551  { 6, 32}, // #193
552  { 7, 32}, // #194
553  { 6, 34}, // #195
554  { 7, 34}, // #196
555  { 6, 64}, // #197
556  { 7, 64}, // #198
557  { 6,  1}, // #199
558  { 7,  1}, // #200
559  { 6,  2}, // #201
560  { 7,  2}, // #202
561  { 3,  2}, // #203
562  { 6,  2}, // #204
563  { 2,  1}, // #205
564  { 3,  2}, // #206
565  { 6,  2}, // #207
566  { 3,  1}, // #208
567  { 6,  3}, // #209
568  { 2,  1}, // #210
569  { 3,  1}, // #211
570  { 6,  3}, // #212
571  { 5,  3}, // #213
572  { 2,  1}, // #214
573  { 5,  3}, // #215
574  { 5,  4}, // #216
575  { 6,  2}, // #217
576  { 2,  1}, // #218
577  { 5,  4}, // #219
578  { 6,  2}, // #220
579  { 5,  3}, // #221
580  { 6,  2}, // #222
581  { 2,  1}, // #223
582  { 5,  3}, // #224
583  { 6,  2}, // #225
584  { 6,  1}, // #226
585  { 8,  1}, // #227
586  { 6,  2}, // #228
587  { 8,  2}, // #229
588  { 6,  2}, // #230
589  { 8,  1}, // #231
590  { 6,  7}, // #232
591  { 6,  9}, // #233
592  { 2,  2}, // #234
593  { 3,  2}, // #235
594  { 2,  2}, // #236
595  { 5,  2}, // #237
596  { 2,  2}, // #238
597  { 5,  4}, // #239
598  { 2,  3}, // #240
599  { 5,  4}, // #241
600  { 2,  2}, // #242
601  { 5,  1}, // #243
602  {28,  1}, // #244
603  { 5,  1}, // #245
604  { 7,  1}, // #246
605  { 1,  1}, // #247
606  {35,  1}, // #248
607  {16, 12}, // #249
608  {19,  1}, // #250
609  {45,  1}, // #251
610  {27,  1}, // #252
611  { 4,  1}, // #253
612  { 5,  1}, // #254
613  {27,  1}, // #255
614  { 5,  2}, // #256
615  { 7,  2}, // #257
616  { 5,  1}, // #258
617  { 7,  1}, // #259
618  { 8, 12}, // #260
619  { 5,  1}, // #261
620  { 7,  1}, // #262
621  { 8, 21}, // #263
622  { 4,  1}, // #264
623  { 5,  1}, // #265
624  {45,  1}, // #266
625  { 5,  3}, // #267
626  { 7,  1}, // #268
627  {27,  1}, // #269
628  {27,  2}, // #270
629  {28,  1}, // #271
630  {27,  3}, // #272
631  {27,  4}, // #273
632  { 5,  1}, // #274
633  {27,  2}, // #275
634  {28,  1}, // #276
635  { 5,  1}, // #277
636  {27,  3}, // #278
637  { 5,  1}, // #279
638  {27,  4}, // #280
639  {27,  2}, // #281
640  {28,  2}, // #282
641  {27, 10}, // #283
642  { 5,  1}, // #284
643  {27,  2}, // #285
644  {28,  2}, // #286
645  { 5,  1}, // #287
646  {27, 10}, // #288
647  {27, 12}, // #289
648  {28,  3}, // #290
649  {27, 18}, // #291
650  { 5,  1}, // #292
651  {27, 12}, // #293
652  {28,  3}, // #294
653  { 5,  1}, // #295
654  {27, 18}, // #296
655  {27, 12}, // #297
656  {28,  4}, // #298
657  {27, 24}, // #299
658  { 5,  1}, // #300
659  {27, 12}, // #301
660  {28,  4}, // #302
661  { 5,  1}, // #303
662  {27, 24}, // #304
663  {24,  6}, // #305
664  {45,  2}, // #306
665  {24,  1}, // #307
666  {45,  1}, // #308
667  {24,  2}, // #309
668  {45,  2}, // #310
669  {24,  3}, // #311
670  {45,  3}, // #312
671  {24,  4}, // #313
672  {45,  4}, // #314
673  {24,  9}, // #315
674  {45,  3}, // #316
675  {24, 12}, // #317
676  {28,  1}, // #318
677  {45,  2}, // #319
678  {24, 15}, // #320
679  {28,  1}, // #321
680  {45,  3}, // #322
681  {16,  8}, // #323
682  {16, 13}, // #324
683  { 2,  8}, // #325
684  { 3,  8}, // #326
685  {16, 16}, // #327
686  {17,  8}, // #328
687  {18,  8}, // #329
688  { 2, 13}, // #330
689  { 3, 13}, // #331
690  {16, 26}, // #332
691  {17, 13}, // #333
692  {18, 13}, // #334
693  {32,  1}, // #335
694  { 2,  1}, // #336
695  {32,  1}, // #337
696  {33,  1}, // #338
697  {27,  2}, // #339
698  {28,  3}, // #340
699  { 5,  1}, // #341
700  {27,  2}, // #342
701  {28,  3}, // #343
702  {27,  4}, // #344
703  {28,  4}, // #345
704  { 5,  1}, // #346
705  {27,  4}, // #347
706  {28,  4}, // #348
707  {24,  9}, // #349
708  {28,  1}, // #350
709  {45,  1}, // #351
710  { 2,  1}, // #352
711  {36,  1}, // #353
712  {41,  1}, // #354
713  { 9,  1}, // #355
714  {37,  1}, // #356
715  { 1, 19}, // #357
716  {23, 19}, // #358
717  { 1, 38}, // #359
718  {23, 38}, // #360
719  { 1, 52}, // #361
720  {23, 52}, // #362
721  { 1,  2}, // #363
722  {35,  2}, // #364
723  { 1,  1}, // #365
724  {13,  1}, // #366
725  {14,  1}, // #367
726  {24,  1}, // #368
727  {28,  1}, // #369
728  {24,  1}, // #370
729  {37,  1}, // #371
730  { 1, 26}, // #372
731  {23, 26}, // #373
732  { 4,  1}, // #374
733  { 5,  1}, // #375
734  {27,  2}, // #376
735  { 4,  1}, // #377
736  { 5,  1}, // #378
737  {24,  1}, // #379
738  {45,  1}, // #380
739  { 5,  2}, // #381
740  { 7,  1}, // #382
741  {37,  1}, // #383
742  {45,  1}, // #384
743  {39,  1}, // #385
744  { 1,  1}, // #386
745  {48,  1}, // #387
746  {16,  1}, // #388
747  {20,  9}, // #389
748  {60,  1}, // #390
749  { 8, 12}, // #391
750  { 9, 12}, // #392
751  { 8, 21}, // #393
752  { 9, 21}, // #394
753  { 6,  3}, // #395
754  { 8,  1}, // #396
755  {36,  1}, // #397
756  {36,  2}, // #398
757  {52,  1}, // #399
758  {36,  3}, // #400
759  {36,  4}, // #401
760  { 6,  1}, // #402
761  {36,  2}, // #403
762  {52,  1}, // #404
763  { 6,  1}, // #405
764  {36,  1}, // #406
765  { 6,  1}, // #407
766  {36,  3}, // #408
767  { 6,  1}, // #409
768  {36,  4}, // #410
769  {36,  2}, // #411
770  {52,  2}, // #412
771  {36,  6}, // #413
772  { 6,  1}, // #414
773  {36,  2}, // #415
774  {52,  2}, // #416
775  { 6,  1}, // #417
776  {36,  6}, // #418
777  {36,  9}, // #419
778  {52,  3}, // #420
779  { 6,  1}, // #421
780  {36,  9}, // #422
781  {52,  3}, // #423
782  {36,  6}, // #424
783  {52,  4}, // #425
784  {36, 12}, // #426
785  { 6,  1}, // #427
786  {36,  6}, // #428
787  {52,  4}, // #429
788  { 6,  1}, // #430
789  {36, 12}, // #431
790  {33,  1}, // #432
791  {60,  1}, // #433
792  {33,  2}, // #434
793  {60,  2}, // #435
794  {33,  3}, // #436
795  {60,  3}, // #437
796  {33,  4}, // #438
797  {60,  4}, // #439
798  { 6,  1}, // #440
799  {33,  1}, // #441
800  {60,  1}, // #442
801  { 6,  1}, // #443
802  {33,  2}, // #444
803  {60,  2}, // #445
804  { 6,  1}, // #446
805  {33,  3}, // #447
806  {60,  3}, // #448
807  { 6,  1}, // #449
808  {33,  4}, // #450
809  {60,  4}, // #451
810  {33,  3}, // #452
811  {52,  3}, // #453
812  {60,  6}, // #454
813  { 6,  1}, // #455
814  {33,  3}, // #456
815  {52,  3}, // #457
816  {60,  6}, // #458
817  {33,  4}, // #459
818  {52,  4}, // #460
819  {60,  8}, // #461
820  { 6,  1}, // #462
821  {33,  4}, // #463
822  {52,  4}, // #464
823  {60,  8}, // #465
824  {20,  6}, // #466
825  {20, 12}, // #467
826  {20, 18}, // #468
827  {44,  1}, // #469
828  { 1,  1}, // #470
829  {44,  1}, // #471
830  {45,  1}, // #472
831  { 8,  1}, // #473
832  {10,  1}, // #474
833  {36,  3}, // #475
834  {52,  3}, // #476
835  { 6,  1}, // #477
836  {36,  3}, // #478
837  {52,  3}, // #479
838  {36,  3}, // #480
839  {52,  4}, // #481
840  { 6,  1}, // #482
841  {36,  3}, // #483
842  {52,  4}, // #484
843  {33,  2}, // #485
844  {52,  1}, // #486
845  {60,  4}, // #487
846  { 6,  1}, // #488
847  {33,  2}, // #489
848  {52,  1}, // #490
849  {60,  4}, // #491
850  {33,  2}, // #492
851  {52,  2}, // #493
852  {60,  4}, // #494
853  { 6,  1}, // #495
854  {33,  2}, // #496
855  {52,  2}, // #497
856  {60,  4}, // #498
857  { 1,  1}, // #499
858  {47,  1}, // #500
859  {49,  1}, // #501
860  { 2,  1}, // #502
861  {56,  1}, // #503
862  {58,  1}, // #504
863  { 1,  1}, // #505
864  {16,  1}, // #506
865  {17,  1}, // #507
866  {19,  1}, // #508
867  {29,  7}, // #509
868  {29, 14}, // #510
869  {29, 18}, // #511
870  {39,  1}, // #512
871  {60,  1}, // #513
872  {61,  1}, // #514
873  { 1,  1}, // #515
874  {48,  1}, // #516
875  {52,  1}, // #517
876  { 1,  1}, // #518
877  {16,  1}, // #519
878  {17,  1}, // #520
879  {19,  1}, // #521
880  {60,  1}, // #522
881  {61,  1}, // #523
882  {29,  9}, // #524
883  { 5,  1}, // #525
884  { 6,  1}, // #526
885  {36,  1}, // #527
886  { 5,  1}, // #528
887  { 6,  1}, // #529
888  {36,  2}, // #530
889  { 5,  1}, // #531
890  { 6,  1}, // #532
891  {33,  2}, // #533
892  {60,  2}, // #534
893  { 1,  1}, // #535
894  {48,  1}, // #536
895  {60,  1}, // #537
896  {61,  1}, // #538
897  {16,  1}, // #539
898  {19,  1}, // #540
899  { 1,  1}, // #541
900  { 6,  1}, // #542
901  { 8,  1}, // #543
902  {48,  1}, // #544
903  { 1,  1}, // #545
904  {48,  1}, // #546
905  {52,  1}, // #547
906  {60,  1}, // #548
907  {61,  1}, // #549
908  {52,  1}, // #550
909  {55,  1}, // #551
910  {11,  1}, // #552
911  {15,  1}, // #553
912  {39,  1}, // #554
913  {43,  1}, // #555
914  {20,  6}, // #556
915  {23,  6}, // #557
916  {29,  6}, // #558
917  {32,  6}, // #559
918  {20, 12}, // #560
919  {23, 12}, // #561
920  {29, 12}, // #562
921  {32, 12}, // #563
922  { 5,  1}, // #564
923  { 6,  1}, // #565
924  {60,  1}, // #566
925  { 1,  3}, // #567
926  {48,  3}, // #568
927  { 1,  2}, // #569
928  {48,  2}, // #570
929  { 5,  1}, // #571
930  { 6,  1}, // #572
931  {33,  1}, // #573
932  {60,  1}, // #574
933  { 6,  1}, // #575
934  { 7,  1}, // #576
935  { 9,  1}, // #577
936  { 6,  1}, // #578
937  {33, 13}, // #579
938  {23,  1}, // #580
939  {59,  1}, // #581
940  { 6,  2}, // #582
941  { 7,  2}, // #583
942  { 9,  2}, // #584
943  { 9, 10}, // #585
944  {10, 10}, // #586
945  { 9, 16}, // #587
946  {10, 16}, // #588
947  { 6,  3}, // #589
948  { 7,  1}, // #590
949  { 9,  1}, // #591
950  {33,  1}, // #592
951  { 6,  1}, // #593
952  { 7,  1}, // #594
953  {33,  2}, // #595
954  {52,  1}, // #596
955  { 6,  1}, // #597
956  { 7,  1}, // #598
957  {33,  1}, // #599
958  { 6,  1}, // #600
959  { 7,  1}, // #601
960  {33,  3}, // #602
961  { 6,  1}, // #603
962  { 7,  1}, // #604
963  {33,  4}, // #605
964  {33, 11}, // #606
965  { 6,  1}, // #607
966  { 7,  1}, // #608
967  {33,  2}, // #609
968  {52,  2}, // #610
969  { 6,  1}, // #611
970  { 7,  1}, // #612
971  {33, 11}, // #613
972  {33,  2}, // #614
973  {52,  3}, // #615
974  { 6,  1}, // #616
975  { 7,  1}, // #617
976  {33,  2}, // #618
977  {52,  3}, // #619
978  {33,  2}, // #620
979  {52,  4}, // #621
980  {33,  8}, // #622
981  { 6,  1}, // #623
982  { 7,  1}, // #624
983  {33,  2}, // #625
984  {52,  4}, // #626
985  { 6,  1}, // #627
986  { 7,  1}, // #628
987  {33,  8}, // #629
988  {30,  1}, // #630
989  {59,  1}, // #631
990  {30,  2}, // #632
991  {59,  2}, // #633
992  {30,  3}, // #634
993  {59,  3}, // #635
994  {30,  4}, // #636
995  {59,  4}, // #637
996  { 6,  1}, // #638
997  { 7,  1}, // #639
998  {30,  1}, // #640
999  {59,  1}, // #641
1000  { 6,  1}, // #642
1001  { 7,  1}, // #643
1002  {30,  2}, // #644
1003  {59,  2}, // #645
1004  { 6,  1}, // #646
1005  { 7,  1}, // #647
1006  {30,  3}, // #648
1007  {59,  3}, // #649
1008  { 6,  1}, // #650
1009  { 7,  1}, // #651
1010  {30,  4}, // #652
1011  {59,  4}, // #653
1012  {30,  2}, // #654
1013  {52,  3}, // #655
1014  {59,  2}, // #656
1015  { 6,  1}, // #657
1016  { 7,  1}, // #658
1017  {30,  2}, // #659
1018  {52,  3}, // #660
1019  {59,  2}, // #661
1020  {30,  4}, // #662
1021  {52,  4}, // #663
1022  {59,  8}, // #664
1023  { 6,  1}, // #665
1024  { 7,  1}, // #666
1025  {30,  4}, // #667
1026  {52,  4}, // #668
1027  {59,  8}, // #669
1028  {20,  4}, // #670
1029  {40,  1}, // #671
1030  { 1,  1}, // #672
1031  {40,  1}, // #673
1032  {41,  1}, // #674
1033  { 9,  1}, // #675
1034  {12,  1}, // #676
1035  {33,  1}, // #677
1036  {52,  3}, // #678
1037  { 6,  1}, // #679
1038  { 7,  1}, // #680
1039  {33,  1}, // #681
1040  {52,  3}, // #682
1041  {33,  1}, // #683
1042  {52,  4}, // #684
1043  { 6,  1}, // #685
1044  { 7,  1}, // #686
1045  {33,  1}, // #687
1046  {52,  4}, // #688
1047  {30,  1}, // #689
1048  {52,  2}, // #690
1049  {59,  1}, // #691
1050  { 6,  1}, // #692
1051  { 7,  1}, // #693
1052  {30,  1}, // #694
1053  {52,  2}, // #695
1054  {59,  1}, // #696
1055  {30,  2}, // #697
1056  {52,  2}, // #698
1057  {59,  4}, // #699
1058  { 6,  1}, // #700
1059  { 7,  1}, // #701
1060  {30,  2}, // #702
1061  {52,  2}, // #703
1062  {59,  4}, // #704
1063  { 2,  1}, // #705
1064  {55,  1}, // #706
1065  {57,  1}, // #707
1066  { 2,  1}, // #708
1067  {17,  1}, // #709
1068  {18,  1}, // #710
1069  {27,  5}, // #711
1070  {36,  1}, // #712
1071  {59,  1}, // #713
1072  {60,  1}, // #714
1073  {17,  1}, // #715
1074  {52,  1}, // #716
1075  {59,  1}, // #717
1076  {60,  1}, // #718
1077  {17,  1}, // #719
1078  {59,  1}, // #720
1079  {60,  1}, // #721
1080  {27,  9}, // #722
1081  {30,  1}, // #723
1082  {59,  1}, // #724
1083  {60,  1}, // #725
1084  { 5,  1}, // #726
1085  { 6,  1}, // #727
1086  { 7,  1}, // #728
1087  {30,  1}, // #729
1088  {59,  1}, // #730
1089  {60,  1}, // #731
1090  { 2,  1}, // #732
1091  {17,  1}, // #733
1092  {18,  1}, // #734
1093  {59,  1}, // #735
1094  {60,  1}, // #736
1095  { 6,  3}, // #737
1096  { 7,  2}, // #738
1097  { 9,  1}, // #739
1098  {11,  1}, // #740
1099  { 1,  1}, // #741
1100  { 6,  1}, // #742
1101  { 7,  1}, // #743
1102  { 9,  1}, // #744
1103  {48,  1}, // #745
1104  { 6,  1}, // #746
1105  { 7,  1}, // #747
1106  {33, 13}, // #748
1107  { 2,  1}, // #749
1108  {17,  1}, // #750
1109  {18,  1}, // #751
1110  {52,  1}, // #752
1111  {59,  1}, // #753
1112  {60,  1}, // #754
1113  {20,  2}, // #755
1114  {27,  8}, // #756
1115  { 6,  2}, // #757
1116  { 7,  1}, // #758
1117  { 9,  1}, // #759
1118  { 6,  4}, // #760
1119  {33, 15}, // #761
1120  { 6,  4}, // #762
1121  { 7,  4}, // #763
1122  {33, 15}, // #764
1123  { 6,  1}, // #765
1124  { 7,  1}, // #766
1125  {59,  1}, // #767
1126  { 6,  3}, // #768
1127  { 7,  3}, // #769
1128  { 9,  1}, // #770
1129  { 1, 30}, // #771
1130  {48, 30}, // #772
1131  { 1, 14}, // #773
1132  {48, 14}, // #774
1133  { 3,  4}, // #775
1134  { 9,  4}, // #776
1135  { 3,  4}, // #777
1136  { 9,  4}, // #778
1137  {13,  1}, // #779
1138  {14,  1}, // #780
1139  { 5,  2}, // #781
1140  { 6,  2}, // #782
1141  { 5,  3}, // #783
1142  { 6,  3}, // #784
1143  { 5,  4}, // #785
1144  { 6,  4}, // #786
1145  { 5,  1}, // #787
1146  { 6,  1}, // #788
1147  {13,  1}, // #789
1148  {14,  1}, // #790
1149  { 5,  2}, // #791
1150  { 6,  2}, // #792
1151  {13,  1}, // #793
1152  {14,  1}, // #794
1153  { 5,  3}, // #795
1154  { 6,  3}, // #796
1155  {13,  1}, // #797
1156  {14,  1}, // #798
1157  { 5,  4}, // #799
1158  { 6,  4}, // #800
1159  {13,  1}, // #801
1160  {14,  1}, // #802
1161  { 5,  4}, // #803
1162  { 6,  4}, // #804
1163  { 9,  2}, // #805
1164  { 5,  4}, // #806
1165  { 6,  4}, // #807
1166  { 9,  2}, // #808
1167  {13,  1}, // #809
1168  {14,  1}, // #810
1169  { 5,  4}, // #811
1170  { 6,  4}, // #812
1171  { 9,  4}, // #813
1172  { 5,  4}, // #814
1173  { 6,  4}, // #815
1174  { 9,  4}, // #816
1175  {13,  1}, // #817
1176  {14,  1}, // #818
1177  { 8,  1}, // #819
1178  { 9,  2}, // #820
1179  {10,  1}, // #821
1180  { 8,  2}, // #822
1181  { 9,  4}, // #823
1182  {10,  2}, // #824
1183  {12,  1}, // #825
1184  {13,  2}, // #826
1185  {14,  2}, // #827
1186  {16,  1}, // #828
1187  {17,  1}, // #829
1188  {11,  1}, // #830
1189  {12,  1}, // #831
1190  {13,  1}, // #832
1191  {14,  1}, // #833
1192  { 3,  1}, // #834
1193  { 9,  1}, // #835
1194  { 3,  1}, // #836
1195  { 9,  3}, // #837
1196  { 3,  2}, // #838
1197  { 9,  2}, // #839
1198  { 3,  1}, // #840
1199  { 9,  4}, // #841
1200  { 5,  2}, // #842
1201  { 6,  2}, // #843
1202  { 9,  1}, // #844
1203  { 5,  2}, // #845
1204  { 6,  2}, // #846
1205  { 9,  1}, // #847
1206  {13,  1}, // #848
1207  {14,  1}, // #849
1208  { 5,  2}, // #850
1209  { 6,  2}, // #851
1210  { 9,  2}, // #852
1211  { 5,  2}, // #853
1212  { 6,  2}, // #854
1213  { 9,  2}, // #855
1214  {13,  1}, // #856
1215  {14,  1}, // #857
1216  { 9,  5}, // #858
1217  { 2,  1}, // #859
1218  { 9,  1}, // #860
1219  {13,  1}, // #861
1220  {14,  1}, // #862
1221  {16,  1}, // #863
1222  {17,  1}, // #864
1223  {14,  1}, // #865
1224  {17,  1}, // #866
1225  {11,  2}, // #867
1226  {12,  2}, // #868
1227  {13, 10}, // #869
1228  {14, 10}, // #870
1229  {16,  8}, // #871
1230  {17,  8}, // #872
1231  {11,  2}, // #873
1232  {12,  2}, // #874
1233  {13, 13}, // #875
1234  {14, 13}, // #876
1235  {16, 11}, // #877
1236  {17, 11}, // #878
1237  { 3,  1}, // #879
1238  {13,  1}, // #880
1239  {14,  1}, // #881
1240  { 3,  1}, // #882
1241  {13,  1}, // #883
1242  {14,  1}, // #884
1243  {16,  1}, // #885
1244  {17,  1}, // #886
1245  { 3,  2}, // #887
1246  {13,  1}, // #888
1247  {14,  1}, // #889
1248  {16,  1}, // #890
1249  {17,  1}, // #891
1250  { 3,  1}, // #892
1251  { 4,  1}, // #893
1252  { 5,  1}, // #894
1253  { 3,  2}, // #895
1254  { 4,  1}, // #896
1255  { 5,  1}, // #897
1256  { 4,  1}, // #898
1257  { 5,  1}, // #899
1258  {13,  1}, // #900
1259  {14,  1}, // #901
1260  { 5,  2}, // #902
1261  { 6,  2}, // #903
1262  {13,  2}, // #904
1263  {14,  2}, // #905
1264  { 4,  1}, // #906
1265  { 7,  2}, // #907
1266  { 4,  1}, // #908
1267  { 5,  1}, // #909
1268  { 7,  2}, // #910
1269  { 8,  1}, // #911
1270  { 1,  1}, // #912
1271  { 7,  1}, // #913
1272  { 1,  1}, // #914
1273  { 7,  3}, // #915
1274  { 1,  4}, // #916
1275  { 7,  1}, // #917
1276  { 1,  1}, // #918
1277  { 7,  2}, // #919
1278  { 1,  2}, // #920
1279  { 7,  2}, // #921
1280  { 1,  3}, // #922
1281  { 7,  2}, // #923
1282  { 1,  4}, // #924
1283  { 4,  6}, // #925
1284  { 7,  6}, // #926
1285  { 1,  4}, // #927
1286  { 4,  6}, // #928
1287  { 7,  8}, // #929
1288  { 1,  4}, // #930
1289  { 4,  8}, // #931
1290  { 7,  8}, // #932
1291  { 1,  4}, // #933
1292  { 7,  2}, // #934
1293  { 1,  4}, // #935
1294  { 4,  8}, // #936
1295  { 7, 10}, // #937
1296  { 1,  1}, // #938
1297  { 7,  1}, // #939
1298  { 8,  1}, // #940
1299  { 1,  2}, // #941
1300  { 7,  3}, // #942
1301  { 8,  2}, // #943
1302  { 1,  3}, // #944
1303  { 7,  3}, // #945
1304  { 8,  3}, // #946
1305  { 1,  4}, // #947
1306  { 7,  4}, // #948
1307  { 8,  4}, // #949
1308  { 1,  1}, // #950
1309  { 4,  1}, // #951
1310  { 7,  3}, // #952
1311  { 8,  1}, // #953
1312  { 1,  3}, // #954
1313  { 7,  5}, // #955
1314  { 8,  3}, // #956
1315  { 1,  4}, // #957
1316  { 7,  6}, // #958
1317  { 8,  4}, // #959
1318  { 1,  1}, // #960
1319  { 7,  3}, // #961
1320  { 8,  1}, // #962
1321  { 1,  2}, // #963
1322  { 7,  4}, // #964
1323  { 8,  2}, // #965
1324  { 1,  2}, // #966
1325  { 7,  2}, // #967
1326  { 8,  2}, // #968
1327  { 1,  4}, // #969
1328  { 4,  4}, // #970
1329  { 7,  8}, // #971
1330  { 8,  4}, // #972
1331  { 1,  4}, // #973
1332  { 4,  4}, // #974
1333  { 7, 10}, // #975
1334  { 8,  4}, // #976
1335  { 1,  4}, // #977
1336  { 4,  8}, // #978
1337  { 7, 12}, // #979
1338  { 8,  4}, // #980
1339  { 1,  4}, // #981
1340  { 4,  8}, // #982
1341  { 7, 14}, // #983
1342  { 8,  4}, // #984
1343  { 4,  2}, // #985
1344  { 5,  2}, // #986
1345  { 7,  4}, // #987
1346  { 8,  2}, // #988
1347  { 4,  2}, // #989
1348  { 7,  4}, // #990
1349  { 4,  3}, // #991
1350  { 5,  3}, // #992
1351  { 7,  3}, // #993
1352  { 1,  1}, // #994
1353  { 4,  1}, // #995
1354  { 7,  1}, // #996
1355  { 1,  1}, // #997
1356  { 4,  2}, // #998
1357  { 7,  2}, // #999
1358  { 1,  1}, // #1000
1359  { 4,  2}, // #1001
1360  { 7,  4}, // #1002
1361  { 1,  1}, // #1003
1362  { 4,  3}, // #1004
1363  { 7,  3}, // #1005
1364  { 1,  1}, // #1006
1365  { 4,  3}, // #1007
1366  { 7,  5}, // #1008
1367  { 1,  2}, // #1009
1368  { 4,  3}, // #1010
1369  { 7,  3}, // #1011
1370  { 1,  2}, // #1012
1371  { 4,  3}, // #1013
1372  { 7,  5}, // #1014
1373  { 1,  1}, // #1015
1374  { 4,  4}, // #1016
1375  { 7,  4}, // #1017
1376  { 1,  1}, // #1018
1377  { 4,  4}, // #1019
1378  { 7,  6}, // #1020
1379  { 1,  2}, // #1021
1380  { 4,  4}, // #1022
1381  { 7,  4}, // #1023
1382  { 1,  2}, // #1024
1383  { 4,  4}, // #1025
1384  { 7,  6}, // #1026
1385  { 1,  2}, // #1027
1386  { 4,  2}, // #1028
1387  { 7,  4}, // #1029
1388  { 8,  2}, // #1030
1389  { 1,  2}, // #1031
1390  { 4,  2}, // #1032
1391  { 7,  6}, // #1033
1392  { 8,  2}, // #1034
1393  { 1,  2}, // #1035
1394  { 4,  4}, // #1036
1395  { 7,  6}, // #1037
1396  { 8,  2}, // #1038
1397  { 1,  2}, // #1039
1398  { 4,  4}, // #1040
1399  { 7,  8}, // #1041
1400  { 8,  2}, // #1042
1401  { 4,  2}, // #1043
1402  { 7,  3}, // #1044
1403  { 4, 12}, // #1045
1404  { 7, 13}, // #1046
1405  { 4, 16}, // #1047
1406  { 7, 17}, // #1048
1407  { 1,  2}, // #1049
1408  { 4,  1}, // #1050
1409  { 7,  4}, // #1051
1410  { 8,  2}, // #1052
1411  { 1,  1}, // #1053
1412  { 4,  1}, // #1054
1413  { 7,  2}, // #1055
1414  { 8,  1}, // #1056
1415  { 4,  5}, // #1057
1416  { 7,  5}, // #1058
1417  { 4, 10}, // #1059
1418  { 7, 11}, // #1060
1419  { 4,  7}, // #1061
1420  { 7,  7}, // #1062
1421  { 4, 14}, // #1063
1422  { 7, 15}, // #1064
1423  { 1,  2}, // #1065
1424  { 4,  1}, // #1066
1425  { 7,  1}, // #1067
1426  { 1,  2}, // #1068
1427  { 7,  1}, // #1069
1428  { 8,  1}, // #1070
1429  { 5, 19}, // #1071
1430  { 3,  6}, // #1072
1431  { 6,  8}, // #1073
1432  { 6, 10}, // #1074
1433  { 5,  9}, // #1075
1434  { 1,  4}, // #1076
1435  { 3,  4}, // #1077
1436  { 6,  4}, // #1078
1437  { 1,  2}, // #1079
1438  { 6,  2}, // #1080
1439  { 1,  1}, // #1081
1440  { 5,  1}, // #1082
1441  { 6,  1}, // #1083
1442  { 1,  1}, // #1084
1443  { 3,  1}, // #1085
1444  { 6,  1}, // #1086
1445  { 1, 19}, // #1087
1446  { 3, 19}, // #1088
1447  { 6, 19}, // #1089
1448  { 1,  2}, // #1090
1449  { 3,  2}, // #1091
1450  { 6,  2}, // #1092
1451  { 1,  2}, // #1093
1452  { 7,  1}, // #1094
1453  {14,  1}, // #1095
1454  { 1,  2}, // #1096
1455  { 6,  1}, // #1097
1456  { 7,  1}, // #1098
1457  { 1, 39}, // #1099
1458  { 2, 39}, // #1100
1459  { 3, 39}, // #1101
1460  { 4, 39}, // #1102
1461  { 6, 39}, // #1103
1462  { 1, 23}, // #1104
1463  { 2, 23}, // #1105
1464  { 3, 23}, // #1106
1465  { 4, 23}, // #1107
1466  { 6, 23}, // #1108
1467  { 1,  3}, // #1109
1468  { 6,  1}, // #1110
1469  { 7,  1}, // #1111
1470  {14,  1}, // #1112
1471  { 1,  3}, // #1113
1472  { 7,  2}, // #1114
1473  {14,  1}, // #1115
1474  { 1,  4}, // #1116
1475  { 5,  1}, // #1117
1476  { 6,  3}, // #1118
1477  { 7,  1}, // #1119
1478  { 1,  2}, // #1120
1479  { 3,  1}, // #1121
1480  { 6,  1}, // #1122
1481  { 7,  1}, // #1123
1482  { 1,  3}, // #1124
1483  { 3,  1}, // #1125
1484  { 6,  2}, // #1126
1485  { 7,  1}, // #1127
1486  { 1,  8}, // #1128
1487  { 3,  8}, // #1129
1488  { 6,  8}, // #1130
1489  { 1, 12}, // #1131
1490  { 3, 12}, // #1132
1491  { 6, 12}, // #1133
1492  { 1,  1}, // #1134
1493  { 2,  1}, // #1135
1494  { 3,  1}, // #1136
1495  { 4,  1}, // #1137
1496  { 6,  1}, // #1138
1497  { 1,  3}, // #1139
1498  { 6,  2}, // #1140
1499  { 7,  1}, // #1141
1500  { 1,  4}, // #1142
1501  { 6,  3}, // #1143
1502  { 7,  1} // #1144
1503}; // AArch64WriteProcResTable
1504
1505// {Cycles, WriteResourceID}
1506extern const llvm::MCWriteLatencyEntry AArch64WriteLatencyTable[] = {
1507  { 0,  0}, // Invalid
1508  { 2,  0}, // #1 WriteV_WriteExtr_WriteF_WriteFImm_WriteAdr_CyWriteCrypto2_A57Write_2cyc_1M_A57Write_1cyc_1I_A57Write_2cyc_2S_A57Write_2cyc_1B_1I_A57Write_2cyc_1I_2S_WriteFCmp_M3WriteZ1_M3WriteSB_WriteVST_M3WriteC2_M3WriteFADD2_M3WriteFCVT2_M3WriteNMSC2_M3WriteNSHT2_M3WriteAD_M3WriteAA_M3WriteAC_M4WriteAA_M4WriteFADD2_M4WriteNMSC2_M4WriteFCVT2_M4WriteZ1_M4WriteVST1_M4WriteVSTD_M4WriteVSTE_M4WriteAF_M4WriteE2_M4WriteFCVT2A_M4WriteNSHFB_M4WriteNSHT2_M4WriteAD_M4WriteFCVT2H_M4WriteNEONI_M4WriteFADD2H_M4WriteFMAC2H_M4WriteSB_M4WriteAB_M5WriteFADD2_M5WriteNMSC2_M5WriteFCVT2_M5WriteNALU2_M5WriteC2_M5WriteZ1_M5WriteVSTA_M5WriteVSTD_M5WriteVSTE_M5WriteAFX_M5WriteNCRY2A_M5WriteNSHT2_M5WriteFCVT2A_M5WriteNSHF2_M5WriteNSHFA_M5WriteAB_M5WriteNEONH_M5WriteNDOT2_M5WriteAD_M5WriteAFW_M5WriteSB_M5WriteAAX_M5WriteAAW_FalkorWr_2XYZ_2cyc_FalkorWr_2VXVY_2cyc_FalkorWr_1X_2cyc_FalkorWr_1VXVY_2cyc_FalkorWr_1GTOV_1VXVY_2cyc_FalkorWr_4VXVY_2cyc_FalkorWr_1XYZ_2cyc_FalkorWr_1XYZ_1cyc_KryoWrite_2cyc_XY_XY_5_1ln_KryoWrite_2cyc_XY_X_136ln_KryoWrite_2cyc_X_X_23ln_KryoWrite_2cyc_XA_XA_XA_24ln_KryoWrite_2cyc_XY_noRSV_234ln_KryoWrite_2cyc_XY_XY_236ln_KryoWrite_2cyc_XY_XY_235ln_KryoWrite_2cyc_XY_XY_243ln_KryoWrite_2cyc_XY_noRSV_105ln_KryoWrite_2cyc_XY_XY_36ln_KryoWrite_2cyc_XY_noRSV_104ln_KryoWrite_2cyc_XY_XY_106ln_KryoWrite_2cyc_XY_noRSV_108ln_KryoWrite_2cyc_XY_XY_109ln_KryoWrite_2cyc_X_X_noRSV_220ln_KryoWrite_2cyc_X_X_X_X_221ln_KryoWrite_2cyc_X_LS_Y_XY_LS_Y_354ln_KryoWrite_2cyc_XY_XY_150ln_KryoWrite_2cyc_XY_XY_82ln_KryoWrite_2cyc_XY_XY_183ln_KryoWrite_2cyc_XY_noRSV_33ln_KryoWrite_2cyc_XY_noRSV_35ln_KryoWrite_2cyc_XY_XY_242ln_KryoWrite_2cyc_X_X_22ln_KryoWrite_2cyc_XY_noRSV_148ln_KryoWrite_2cyc_XY_noRSV_239ln_KryoWrite_2cyc_XY_noRSV_240ln_KryoWrite_2cyc_XY_noRSV_67ln_KryoWrite_2cyc_XY_noRSV_149ln_KryoWrite_2cyc_XY_noRSV_80ln_KryoWrite_2cyc_XY_noRSV_182ln_KryoWrite_2cyc_XY_noRSV_81ln_KryoWrite_2cyc_XY_XY_153ln_KryoWrite_2cyc_XY_XY_151ln_KryoWrite_2cyc_XY_XY_152ln_KryoWrite_2cyc_XY_XY_241ln_KryoWrite_2cyc_XY_XY_83ln_KryoWrite_2cyc_XY_XY_XY_XY_176ln_KryoWrite_2cyc_XY_XY_64ln_KryoWrite_2cyc_XY_XY_5ln_KryoWrite_2cyc_XY_noRSV_218ln_KryoWrite_2cyc_XY_XY_219ln_KryoWrite_2cyc_X_X_X_noRSV_222ln_KryoWrite_2cyc_X_X_X_X_X_X_224ln_KryoWrite_2cyc_XY_noRSV_39ln_KryoWrite_2cyc_XY_3ln_KryoWrite_2cyc_XY_noRSV_7ln_KryoWrite_2cyc_XY_XY_8ln_KryoWrite_2cyc_XY_noRSV_34ln_KryoWrite_2cyc_XY_XY_137ln_KryoWrite_2cyc_XY_93ln_KryoWrite_2cyc_XY_204ln_THX2T99Write_5Cyc_I012
1509  { 1, 1028}, // #2 WriteI
1510  { 2, 1035}, // #3 WriteISReg
1511  { 2, 1031}, // #4 WriteIEReg
1512  { 1,  0}, // #5 WriteAdr_WriteBarrier_WriteSys_WriteBr_WriteBrReg_WriteHint_A57Write_1cyc_1I_A57Write_1cyc_1B_A57Write_1cyc_1S_A57Write_1cyc_1I_1S_A57Write_1cyc_1B_1I_M3WriteA1_M3WriteZ1_M3WriteC1_WriteFCopy_WriteFImm_M3WriteS1_WriteVST_M3WriteNCRY1A_M3WriteNSHT1_M3WriteNMSC1_M3WriteNALU1_M3WriteNSHF1_M3WriteB1_M3WriteAB_M4WriteNALU1_M4WriteA1_M4WriteZ1_M4WriteC1_M4WriteS1_M4WriteVST1_M4WriteNCRY1A_M4WriteNSHT1_M4WriteNMSC1_M4WriteNSHF1_M4WriteNSHFA_M4WriteVSTJ_M4WriteNHAD1_M4WriteB1_M4WriteNSHF1H_M4WriteNALU1H_M5WriteNALU1_M5WriteA1W_M5WriteZ1_M5WriteC1_M5WriteS1_M5WriteVST1_M5WriteA1X_M5WriteNMSC1_M5WriteVSTH_M5WriteNHAD1_M5WriteB1_M5WriteNSHF1_M5WriteNCRY1A_FalkorWr_1XYZ_1cyc_FalkorWr_2VXVY_1cyc_FalkorWr_1VXVY_1cyc_FalkorWr_2GTOV_1cyc_FalkorWr_1VTOG_1cyc_FalkorWr_1GTOV_1cyc_KryoWrite_1cyc_LS_Y_XY_LS_Y_345ln_KryoWrite_1cyc_X_X_LS_Y_LS_Y_X_X_LS_Y_LS_Y_385ln_KryoWrite_1cyc_X_X_LS_Y_X_X_LS_Y_X_X_LS_Y_X_X_LS_Y_390ln_KryoWrite_1cyc_X_72ln_KryoWrite_1cyc_X_X_LS_Y_LS_Y_353ln_KryoWrite_1cyc_X_X_LS_Y_X_X_LS_Y_370ln_KryoWrite_1cyc_X_X_75ln_KryoWrite_1cyc_X_X_197ln_KryoWrite_1cyc_XY_195ln_KryoWrite_1cyc_X_201ln_KryoWrite_1cyc_X_X_209ln_KryoWrite_1cyc_X_LS_Y_295ln_KryoWrite_1cyc_X_LS_Y_406ln_KryoWrite_1cyc_XY_90ln_KryoWrite_1cyc_XY_XY_79ln_KryoWrite_1cyc_XY_noRSV_47ln_KryoWrite_1cyc_XY_noRSV_124ln_KryoWrite_1cyc_XY_XY_125ln_KryoWrite_1cyc_XY_noRSV_144ln_KryoWrite_1cyc_XY_noRSV_66ln_KryoWrite_1cyc_XY_noRSV_76ln_KryoWrite_1cyc_XY_noRSV_143ln_KryoWrite_1cyc_XY_XY_146ln_KryoWrite_1cyc_XY_XY_145ln_KryoWrite_1cyc_XY_63ln_KryoWrite_1cyc_XY_62ln_KryoWrite_1cyc_XY_18ln_KryoWrite_1cyc_XY_XY_78ln_KryoWrite_1cyc_XY_2ln_KryoWrite_1cyc_XY_1ln_KryoWrite_1cyc_XY_noRSV_6ln_KryoWrite_1cyc_X_noRSV_196ln_KryoWrite_1cyc_X_noRSV_74ln_KryoWrite_1cyc_X_noRSV_207ln_KryoWrite_1cyc_XY_noRSV_198ln_KryoWrite_1cyc_X_noRSV_205ln_KryoWrite_1cyc_XY_noRSV_193ln_KryoWrite_1cyc_XY_XY_216ln_KryoWrite_1cyc_XY_noRSV_215ln_KryoWrite_1cyc_X_X_212ln_KryoWrite_1cyc_XY_XY_199ln_KryoWrite_1cyc_XY_XY_194ln_KryoWrite_1cyc_X_X_208ln_KryoWrite_1cyc_XY_noRSV_21ln_KryoWrite_1cyc_XY_noRSV_48ln_KryoWrite_1cyc_XY_noRSV_49ln_KryoWrite_1cyc_X_202ln_KryoWrite_1cyc_XA_Y_98ln_KryoWrite_1cyc_XY_135ln_KryoWrite_1cyc_X_89ln_KryoWrite_1cyc_XY_63_1ln_KryoWrite_1cyc_XY_91ln_KryoWrite_1cyc_XY_noRSV_65ln_KryoWrite_1cyc_XY_noRSV_77ln_KryoWrite_1cyc_XY_20ln_KryoWrite_1cyc_X_17ln_KryoWrite_1cyc_XY_45ln_KryoWrite_1cyc_XY_noRSV_214ln_KryoWrite_1cyc_XY_XY_217ln_KryoWrite_1cyc_X_X_211ln_KryoWrite_1cyc_X_XY_213ln_KryoWrite_1cyc_X_X_210ln_KryoWrite_1cyc_X_noRSV_206ln_WriteST_WriteSTP_WriteSTIdx_THXT8XWriteVST1_THXT8XWriteBR_THXT8XWriteRET_THXT8XWriteBRR_WriteExtr_THX2T99Write_1Cyc_LS01_F01_THX2T99Write_1Cyc_I2_THX2T99Write_1Cyc_I012
1513  { 1, 1034}, // #6 WriteIS
1514  {-1,  0}, // #7 WriteSys
1515  { 4,  0}, // #8 WriteFCmp_WriteFCvt_WriteFMul_WriteST_WriteLD_WriteVST_CyWriteV4_WriteFRSQRTE_WriteLDIdx_WriteSTP_WriteSTIdx_A53WriteVLD1_A53WriteVST1_A57Write_4cyc_1L_A57Write_4cyc_1I_1L_A57Write_4cyc_4S_A57Write_4cyc_4S_2V_A57Write_4cyc_1X_A57Write_4cyc_2X_A57Write_4cyc_1I_4S_M3WriteL4_M3WriteFCVT4_M3WriteFCVT4A_M4WriteL4_M4WriteCA_M4WriteVST1_M4WriteVSTF_M4WriteVSTL_M4WriteNSHT4A_M4WriteNSHFD_M4WriteNEONP_M4WriteNEONR_M4WriteNEONJ_M5WriteL4_M5WriteVSTC_M5WriteVSTG_M5WriteVSTF_M5WriteVSTL_M5WriteNSHT4A_M5WriteNSHFB_M5WriteFCVTB_M5WriteSA_FalkorWr_2LD_2VXVY_2LD_2VXVY_4cyc_FalkorWr_1VX_1VY_4cyc_FalkorWr_1LD_1VXVY_4cyc_FalkorWr_1LD_2VXVY_4cyc_FalkorWr_1LD_3VXVY_4cyc_FalkorWr_2LD_2VXVY_1none_4cyc_FalkorWr_1LD_4VXVY_4cyc_FalkorWr_2LD_2VXVY_2none_4cyc_FalkorWr_2VXVY_4cyc_FalkorWr_4VXVY_4cyc_FalkorWr_1VXVY_4cyc_FalkorWr_1GTOV_4cyc_FalkorWr_3VXVY_4cyc_FalkorWr_1LD_4cyc_FalkorWr_1XYZ_1cyc_FalkorWr_1XYZ_1LD_4cyc_FalkorWr_1XYZ_1ST_4cyc_KryoWrite_4cyc_LS_LS_X_X_X_LS_LS_X_X_X_381ln_KryoWrite_4cyc_LS_LS_X_X_X_X_LS_LS_X_X_X_X_386ln_KryoWrite_4cyc_XA_XA_XA_25ln_KryoWrite_4cyc_LS_X_270ln_KryoWrite_4cyc_LS_X_X_296ln_KryoWrite_4cyc_LS_X_X_X_320ln_KryoWrite_4cyc_LS_LS_X_X_X_noRSV_noRSV_noRSV_373ln_KryoWrite_4cyc_LS_X_X_X_X_337ln_KryoWrite_4cyc_LS_LS_X_X_X_X_noRSV_noRSV_noRSV_noRSV_382ln_KryoWrite_4cyc_XY_XY_noRSV_172ln_KryoWrite_4cyc_XY_XY_XY_XY_178ln_KryoWrite_4cyc_X_noRSV_169ln_KryoWrite_4cyc_X_noRSV_185ln_KryoWrite_4cyc_X_noRSV_188ln_KryoWrite_4cyc_X_X_192ln_KryoWrite_4cyc_X_X_191ln_KryoWrite_4cyc_X_noRSV_190ln_KryoWrite_4cyc_X_noRSV_111ln_KryoWrite_4cyc_X_X_115ln_KryoWrite_4cyc_X_X_X_X_X_X_noRSV_226ln_KryoWrite_4cyc_X_X_X_X_X_X_X_X_noRSV_229ln_KryoWrite_4cyc_X_37ln_KryoWrite_4cyc_X_38ln_KryoWrite_4cyc_X_LS_noRSV_297ln_KryoWrite_4cyc_X_LS_272ln_KryoWrite_4cyc_X_LS_271ln_KryoWrite_4cyc_XY_XY_noRSV_173ln_KryoWrite_4cyc_X_noRSV_189ln_KryoWrite_4cyc_X_noRSV_41ln_KryoWrite_4cyc_X_noRSV_97ln_KryoWrite_4cyc_X_noRSV_112ln_KryoWrite_4cyc_X_X_116ln_KryoWrite_4cyc_XY_X_noRSV_171ln_KryoWrite_4cyc_X_X_X_X_X_X_X_X_XY_X_X_230ln_KryoWrite_4cyc_X_X_X_X_X_X_X_X_X_X_XY_X_X_X_X_232ln_KryoWrite_4cyc_X_noRSV_110ln_KryoWrite_4cyc_X_X_114ln_KryoWrite_4cyc_LS_268ln_KryoWrite_4cyc_LS_269ln_KryoWrite_4cyc_LS_267ln_KryoWrite_4cyc_LS_266ln_KryoWrite_4cyc_X_70ln_KryoWrite_4cyc_X_84ln_KryoWrite_4cyc_X_noRSV_40ln_KryoWrite_4cyc_X_noRSV_113ln_KryoWrite_4cyc_X_X_117ln_WriteAtomic_WriteFCopy_WriteFImm_THX2T99Write_4Cyc_LS01_THX2T99Write_4Cyc_I1_THX2T99Write_4Cyc_F01_THX2T99Write_4Cyc_LS01_I012_THX2T99Write_4Cyc_LS01_I012_I012
1516  {17,  0}, // #9 WriteFDiv_A53WriteFSqrtSP_A57Write_17cyc_1W_M3WriteVSTI_THXT8XWriteFSqrtSP
1517  { 5,  0}, // #10 WriteFCopy_WriteLD_WriteVLD_CyWriteV5_WriteST_A53WriteVLD2_A53WriteVST2_A57Write_5cyc_1V_A57Write_5cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_2X_A57Write_5cyc_1W_A57Write_5cyc_2V_A57Write_5cyc_1I_2L_A57Write_5cyc_1I_1L_M3WriteLB_M3WriteL4_M3WriteL5_M3WriteVLDA_M3WriteNCRY5A_M3WriteNEONZ_M3WriteNEONH_M3WriteNEONI_M4WriteL4_M4WriteL5_M4WriteVLDA_M4WriteVSTG_M4WriteNEONB_M4WriteNCRY5A_M4WriteNEONH_M4WriteNEONK_M4WriteNEONN_M5WriteL4_M5WriteNCRY5A_M5WriteNEONB_M5WriteNEONK_M5WriteFDIV5_M5WriteFSQR5_M5WriteL5_M5WriteNEONN_FalkorWr_1VX_1VY_5cyc_FalkorWr_3VXVY_5cyc_FalkorWr_1VXVY_5cyc_FalkorWr_2VXVY_5cyc_FalkorWr_1XYZ_1LD_5cyc_KryoWrite_5cyc_X_X_129ln_KryoWrite_5cyc_X_71ln_KryoWrite_5cyc_XY_XY_XY_noRSV_180ln_KryoWrite_5cyc_X_X_XY_noRSV_119ln_KryoWrite_5cyc_X_X_130ln_KryoWrite_5cyc_X_X_131ln_KryoWrite_5cyc_X_noRSV_52ln_KryoWrite_5cyc_X_X_X_X_X_X_X_X_X_XY_X_X_X_231ln_KryoWrite_5cyc_X_X_X_X_X_X_X_X_X_X_X_XY_X_X_X_X_X_233ln_KryoWrite_5cyc_X_LS_273ln_KryoWrite_5cyc_XY_X_noRSV_174ln_KryoWrite_5cyc_X_noRSV_55ln_KryoWrite_5cyc_X_X_56ln_KryoWrite_5cyc_X_noRSV_126ln_KryoWrite_5cyc_X_noRSV_127ln_KryoWrite_5cyc_X_noRSV_54ln_KryoWrite_5cyc_X_noRSV_128ln_KryoWrite_5cyc_X_85ln_KryoWrite_5cyc_X_noRSV_51ln_KryoWrite_5cyc_X_noRSV_53ln_WriteFCmp_THX2T99Write_5Cyc_LS01_F01_THX2T99Write_5Cyc_F1_THX2T99Write_5Cyc_F01_THX2T99Write_5Cyc_LS01_I012
1518  { 4,  0}, // #11 WriteLD_WriteVST_WriteST_A57Write_4cyc_1L_WriteLDHi_M3WriteL4_M4WriteL4_M5WriteL4_FalkorWr_1LD_4cyc_KryoWrite_4cyc_LS_395ln
1519  { 4,  0}, // #12 WriteLDHi_WriteVST_WriteST_M4WriteZ4_M5WriteZ4_FalkorWr_none_4cyc
1520  { 4,  0}, // #13 WriteLD_WriteVST_A57Write_4cyc_1L_M3WriteL4_M3WriteLD_M4WriteL4_M4WriteLD_M5WriteL4_M5WriteLD
1521  { 4,  0}, // #14 WriteLDHi_WriteVST_A57Write_4cyc_1L_A57Write_4cyc_4S_A57Write_4cyc_4S_2V_M3WriteL4_M4WriteZ4_M4WriteL4_M4WriteVST1_M4WriteVSTF_M4WriteVSTL_M5WriteZ4_M5WriteL4_THX2T99Write_4Cyc_LS01_THX2T99Write_4Cyc_LS01_I012
1522  { 1,  0}, // #15 WriteAdr_A57Write_1cyc_1I_M3WriteZ1_M4WriteZ1_M4WriteA1_M5WriteZ1
1523  { 4, 1032}, // #16 WriteIM32
1524  { 5, 1033}, // #17 WriteIM64
1525  { 1, 1036}, // #18 WriteImm
1526  {10, 1029}, // #19 WriteID32
1527  {13, 1030}, // #20 WriteID64
1528  { 6,  0}, // #21 WriteVST_CyWriteCrypto6_WriteF_WriteFCmp_WriteFCvt_WriteFMul_WriteFCopy_WriteFImm_A53WriteVLD3_A53WriteVST3_A57Write_6cyc_2L_A57Write_6cyc_6S_A57Write_6cyc_6S_4V_A57Write_6cyc_1M_A57Write_6cyc_2V_A57Write_6cyc_2W_A57Write_6cyc_3V_A57Write_6cyc_1I_1L_M3WriteVLDE_M3WriteVLDB_M3WriteVLDC_M3WriteVLDH_M3WriteVLDL_M3WriteNEOND_M3WriteLE_M4WriteVLDD_M4WriteVLDB_M4WriteVLDC_M4WriteVLDG_M4WriteFCVT6A_M4WriteLE_M5WriteL6_M5WriteVLDA_M5WriteNSHFC_M5WriteFCVTC_M5WriteNEONI_FalkorWr_3VXVY_6cyc_FalkorWr_4VXVY_6cyc_FalkorWr_2VXVY_6cyc_WriteAdr_KryoWrite_6cyc_X_X_132ln_KryoWrite_6cyc_XY_XY_X_noRSV_181ln_KryoWrite_6cyc_X_noRSV_60ln_KryoWrite_6cyc_X_noRSV_58ln_KryoWrite_6cyc_X_noRSV_57ln_KryoWrite_6cyc_XY_X_noRSV_175ln_KryoWrite_6cyc_X_noRSV_59ln_KryoWrite_6cyc_X_X_133ln_KryoWrite_6cyc_X_X_134ln_KryoWrite_6cyc_XY_X_238ln_KryoWrite_6cyc_X_X_61ln_THXT8XWriteVLD1_THX2T99Write_6Cyc_LS01_THX2T99Write_6Cyc_LS01_F01_THX2T99XWriteFMulAcc_THX2T99Write_6Cyc_F01_THX2T99Write_6Cyc_LS01_I012_THX2T99Write_6Cyc_LS01_I012_I012
1529  { 1,  0}, // #22 WriteAdr_A57Write_1cyc_1I_M3WriteA1_M4WriteA1_M5WriteZ1_KryoWrite_1cyc_LS_Y_X_301ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_LS_Y_366ln_KryoWrite_1cyc_LS_Y_XY_306ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_344ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_346ln_KryoWrite_1cyc_X_X_LS_Y_LS_Y_X_X_LS_Y_XY_LS_Y_388ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_LS_Y_367ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_347ln_KryoWrite_1cyc_X_X_LS_Y_X_X_LS_Y_X_X_LS_Y_XY_X_X_LS_Y_392ln_KryoWrite_1cyc_X_X_LS_Y_XY_LS_Y_363ln_KryoWrite_1cyc_X_X_LS_Y_XY_X_X_LS_Y_375ln_KryoWrite_1cyc_LS_Y_X_303ln_KryoWrite_1cyc_LS_Y_X_LS_Y_343ln_KryoWrite_1cyc_LS_X_Y_300ln_KryoWrite_1cyc_LS_X_Y_407ln_KryoWrite_1cyc_LS_Y_X_304ln
1530  { 6,  0}, // #23 WriteVST
1531  { 1,  0}, // #24 WriteAdr_A57Write_1cyc_1I
1532  { 4,  0}, // #25 WriteST_WriteVST_A57Write_4cyc_1I_4S_A57Write_4cyc_2I_4S
1533  { 8,  0}, // #26 WriteLD_WriteVST_WriteFRECPS_A53WriteVLD5_A57Write_8cyc_1L_1V_A57Write_8cyc_4L_A57Write_8cyc_1L_2V_A57Write_8cyc_8S_A57Write_8cyc_8S_4V_A57Write_8cyc_2X_A57Write_8cyc_3V_M3WriteVSTE_M4WriteVSTI_M4WriteFSQR8_M4WriteNEONX_M4WriteNEONO_M5WriteVLDL_M5WriteVLDM_M5WriteVSTI_M5WriteVLDJ_M5WriteVLDK_M5WriteFSQR8_M5WriteNEONX_M5WriteNSHFD_M5WriteNEONO_FalkorWr_1X_1Z_8cyc_THX2T99Write_8Cyc_LS01_F01_THX2T99Write_8Cyc_F01
1534  { 1, 1028}, // #27 WriteI
1535  { 4,  0}, // #28 WriteLD
1536  { 1, 1028}, // #29 WriteI
1537  { 0,  0}, // #30 WriteBrReg
1538  { 7,  0}, // #31 WriteV_A53WriteVLD4_A57Write_7cyc_3L_A57Write_7cyc_1V_1X_M3WriteVLDM_M3WriteVSTD_M3WriteFDIV10_M3WriteNEONV_M3WriteVLDD_M3WriteVLDG_M3WriteVLDJ_M4WriteVLDL_M4WriteVLDM_M4WriteFDIV7_M4WriteNEONV_M4WriteVLDJ_M4WriteVLDK_M4WriteFDIV7H_M4WriteFSQR7H_M4WriteNEONVH_M4WriteNEONXH_M5WriteVLDD_M5WriteVLDB_M5WriteVLDC_M5WriteVLDG_M5WriteFDIV7_M5WriteNEONV_M5WriteNEONP_M5WriteFSQR7_M5WriteNEONU_M5WriteLE_FalkorWr_5VXVY_7cyc_WriteFCvt_THX2T99Write_7Cyc_LS01_F01_THX2T99Write_7Cyc_F1_THX2T99Write_7Cyc_F01
1539  { 5,  0}, // #32 WriteVLD_M3WriteL5_M3WriteLA_M4WriteL5_M4WriteLA_THX2T99Write_5Cyc_LS01_I012_I012
1540  { 5,  0}, // #33 WriteVLD_M3WriteLH_M4WriteLH_WriteLDHi
1541  { 5,  0}, // #34 WriteVLD
1542  { 5,  0}, // #35 WriteVLD
1543  { 5,  0}, // #36 WriteVLD
1544  { 7,  0}, // #37 WriteV_A57Write_7cyc_3L_M3WriteVLDM_M3WriteVSTD_M3WriteVLDD_M3WriteVLDG_M3WriteVLDJ_M4WriteVLDL_M4WriteVLDM_M4WriteVLDJ_M4WriteVLDK_M5WriteVLDB_THX2T99Write_7Cyc_LS01_F01
1545  { 1,  0}, // #38 WriteAdr_A57Write_1cyc_1I_M3WriteA1_M3WriteZ1_M4WriteA1_M5WriteA1X
1546  { 5,  0}, // #39 WriteVLD_A57Write_5cyc_1L_M3WriteL5_M3WriteVLDA_M4WriteL5_M4WriteVLDA_M4WriteVSTG_THX2T99Write_5Cyc_LS01_F01_THX2T99Write_5Cyc_LS01_I012
1547  { 1,  0}, // #40 WriteAdr_A57Write_1cyc_1I_M3WriteA1_M3WriteZ1_M4WriteA1_M4WriteZ1
1548  { 5,  0}, // #41 WriteVLD
1549  { 1,  0}, // #42 WriteAdr
1550  { 5,  0}, // #43 WriteVLD_M3WriteLB_M3WriteLC_M4WriteL5_M4WriteLB_M4WriteLC_THX2T99Write_5Cyc_LS01_I012_THX2T99Write_5Cyc_LS01_I012_I012
1551  { 5,  0}, // #44 WriteVLD_M3WriteLH_M4WriteLH_WriteLDHi
1552  { 1,  0}, // #45 WriteAdr_M3WriteZ1_M4WriteZ1
1553  { 5,  0}, // #46 WriteVLD
1554  { 5,  0}, // #47 WriteVLD
1555  { 5,  0}, // #48 WriteVLD
1556  { 1,  0}, // #49 WriteAdr
1557  { 5,  0}, // #50 WriteVLD
1558  { 5,  0}, // #51 WriteVLD
1559  { 5,  0}, // #52 WriteVLD
1560  { 7,  0}, // #53 WriteV
1561  { 2,  0}, // #54 WriteV
1562  { 7,  0}, // #55 WriteV
1563  { 7,  0}, // #56 WriteV
1564  { 7,  0}, // #57 WriteV
1565  { 1,  0}, // #58 WriteAdr_A57Write_1cyc_1I
1566  { 2,  0}, // #59 WriteV_A57Write_2cyc_1I_2S
1567  { 7,  0}, // #60 WriteV
1568  { 1,  0}, // #61 WriteAdr
1569  { 7,  0}, // #62 WriteV
1570  { 7,  0}, // #63 WriteV
1571  { 7,  0}, // #64 WriteV
1572  { 2,  0}, // #65 WriteV
1573  { 7,  0}, // #66 WriteV
1574  { 1,  0}, // #67 WriteAdr
1575  { 7,  0}, // #68 WriteV
1576  { 2,  0}, // #69 WriteV
1577  { 7,  0}, // #70 WriteV
1578  { 7,  0}, // #71 WriteV
1579  { 2,  0}, // #72 WriteV
1580  { 2,  0}, // #73 WriteV
1581  { 9,  0}, // #74 WriteV_A57Write_9cyc_2L_3V_A57Write_9cyc_2L_4V_A57Write_9cyc_2V_A57Write_9cyc_2L_2V_A57Write_9cyc_1L_3V_A57Write_9cyc_3V_A57Write_9cyc_1V_A57Write_9cyc_5V_M3WriteVLDK
1582  { 9,  0}, // #75 WriteV
1583  { 9,  0}, // #76 WriteV
1584  { 9,  0}, // #77 WriteV
1585  { 7,  0}, // #78 WriteV
1586  { 1,  0}, // #79 WriteAdr
1587  { 7,  0}, // #80 WriteV
1588  { 2,  0}, // #81 WriteV
1589  { 2,  0}, // #82 WriteV
1590  { 9,  0}, // #83 WriteV_A57Write_9cyc_2L_3V_A57Write_9cyc_2L_4V_A57Write_9cyc_2L_2V_A57Write_9cyc_1L_3V_M3WriteVLDK
1591  { 1,  0}, // #84 WriteAdr_A57Write_1cyc_1I_M3WriteA1
1592  { 9,  0}, // #85 WriteV
1593  { 9,  0}, // #86 WriteV
1594  { 9,  0}, // #87 WriteV
1595  { 1,  0}, // #88 WriteAdr
1596  { 4,  0}, // #89 WriteVST
1597  { 4,  0}, // #90 WriteVST
1598  { 1,  0}, // #91 WriteAdr
1599  { 4,  0}, // #92 WriteVST
1600  { 4,  0}, // #93 WriteVST
1601  { 4,  0}, // #94 WriteVST
1602  { 1,  0}, // #95 WriteAdr
1603  { 4,  0}, // #96 WriteVST
1604  { 4,  0}, // #97 WriteVST
1605  { 4,  0}, // #98 WriteVST_WriteLD_KryoWrite_4cyc_LS_XY_405ln
1606  { 4,  0}, // #99 WriteVST_WriteLDHi_KryoWrite_4cyc_LS_LS_X_X_X_LS_XY_LS_X_X_X_383ln_KryoWrite_4cyc_LS_LS_X_X_X_X_LS_XY_LS_X_X_X_X_389ln_KryoWrite_4cyc_LS_XY_X_298ln_KryoWrite_4cyc_LS_XY_X_X_321ln_KryoWrite_4cyc_LS_XY_X_X_X_338ln_KryoWrite_4cyc_LS_XY_LS_X_X_X_noRSV_noRSV_noRSV_380ln_KryoWrite_4cyc_LS_XY_X_X_X_X_355ln_KryoWrite_4cyc_LS_XY_LS_X_X_X_X_noRSV_noRSV_noRSV_noRSV_384ln_KryoWrite_4cyc_LS_XY_294ln
1607  { 6,  0}, // #100 WriteVST_M5WriteL6_M5WriteLA_M5WriteLB_M5WriteLC_WriteAdr
1608  { 6,  0}, // #101 WriteVST_M5WriteLH
1609  { 1,  0}, // #102 WriteAdr_M5WriteZ1
1610  { 6,  0}, // #103 WriteVST
1611  { 6,  0}, // #104 WriteVST
1612  { 6,  0}, // #105 WriteVST
1613  { 6,  0}, // #106 WriteVST
1614  { 6,  0}, // #107 WriteVST
1615  { 8,  0}, // #108 WriteVST
1616  { 8,  0}, // #109 WriteVST
1617  { 8,  0}, // #110 WriteVST
1618  { 8,  0}, // #111 WriteVST_A57Write_8cyc_1L_1V_A57Write_8cyc_4L_A57Write_8cyc_1L_2V_A57Write_8cyc_8S_A57Write_8cyc_8S_4V_M3WriteVSTE_M4WriteVSTI_THX2T99Write_8Cyc_LS01_F01
1619  { 1,  0}, // #112 WriteAdr_A57Write_1cyc_1I_M3WriteZ1_M4WriteA1
1620  { 8,  0}, // #113 WriteVST
1621  { 8,  0}, // #114 WriteVST
1622  { 8,  0}, // #115 WriteVST
1623  { 8,  0}, // #116 WriteVST
1624  {10,  0}, // #117 WriteFRSQRTS_WriteLD_A53WriteFMAC_A57Write_10cyc_3L_4V_A57Write_10cyc_3V_A57Write_10cyc_1L_1V_M3WriteVLDF_M3WriteNEONB_M4WriteVLDF_M5WriteD10_FalkorWr_1VX_1VY_10cyc_KryoWrite_10cyc_XA_Y_noRSV_43ln_KryoWrite_10cyc_XA_Y_noRSV_121ln_THXT8XWriteVST2_THXT8XWriteFMAC_THX2T99Write_10Cyc_F01
1625  { 7,  0}, // #118 WriteV
1626  { 1,  0}, // #119 WriteAdr
1627  { 2,  0}, // #120 WriteV
1628  { 2,  0}, // #121 WriteV
1629  { 7,  0}, // #122 WriteV
1630  { 2,  0}, // #123 WriteV
1631  { 2,  0}, // #124 WriteV
1632  { 2,  0}, // #125 WriteV
1633  { 7,  0}, // #126 WriteV
1634  { 1,  0}, // #127 WriteAdr
1635  { 2,  0}, // #128 WriteV
1636  { 2,  0}, // #129 WriteV
1637  { 2,  0}, // #130 WriteV
1638  { 6,  0}, // #131 WriteVST_A57Write_6cyc_2L_WriteAdr
1639  { 4,  0}, // #132 WriteVST_WriteLDHi_WriteSTP
1640  { 1,  0}, // #133 WriteAdr_A57Write_1cyc_1I
1641  { 6,  0}, // #134 WriteVST
1642  { 4,  0}, // #135 WriteVST
1643  { 3, 109}, // #136 CyWriteVAccum
1644  { 3,  0}, // #137 CyWriteV3_CyWritePMUL_CyWriteCrypto3_WriteExtr_A57Write_3cyc_1V_A57Write_3cyc_1S_1V_A57Write_3cyc_2S_1V_A57Write_3cyc_1I_1M_A57Write_3cyc_3S_A57Write_3cyc_3S_2V_A57Write_3cyc_2V_A57Write_3cyc_1I_1S_WriteFCvt_WriteVST_M3WriteNMSC3_M3WriteNCRY3A_M3WriteNSHT3_M3WriteNEONA_M3WriteFCVT3_M3WriteFMAC3_M3WriteFCVT3A_M3WriteNSHF3_M3WriteSA_M4WriteFMAC3_M4WriteC3_M4WriteVST1_M4WriteNHAD3_M4WriteNCRY3A_M4WriteFCVT3A_M4WriteNSHFC_M4WriteFCVT3H_M4WriteNEONQ_M4WriteSA_M4WriteFMAC3H_M4WriteAC_M4WriteVSTK_M5WriteFMAC3_M5WriteCA_M5WriteVSTB_M5WriteNHAD3_M5WriteNCRY3A_M5WriteFCVT3A_M5WriteFCVTA_M5WriteNEONQ_M5WriteAC_M5WriteVSTK_FalkorWr_1LD_3cyc_FalkorWr_2LD_3cyc_FalkorWr_3LD_3cyc_FalkorWr_4LD_3cyc_FalkorWr_1LD_1none_3cyc_FalkorWr_2VXVY_3cyc_FalkorWr_2LD_1none_3cyc_FalkorWr_2LD_2none_3cyc_FalkorWr_4VXVY_3cyc_FalkorWr_1VXVY_3cyc_FalkorWr_3VXVY_3cyc_FalkorWr_1LD_1Z_3cyc_FalkorWr_2LD_1Z_3cyc_FalkorWr_1ST_1SD_1LD_3cyc_FalkorWr_2LD_1ST_1SD_3cyc_FalkorWr_1ST_3cyc_KryoWrite_3cyc_LS_255ln_KryoWrite_3cyc_LS_256ln_KryoWrite_3cyc_LS_LS_281ln_KryoWrite_3cyc_LS_LS_LS_308ln_KryoWrite_3cyc_LS_XY_LS_LS_LS_351ln_KryoWrite_3cyc_LS_257ln_KryoWrite_3cyc_LS_LS_282ln_KryoWrite_3cyc_LS_noRSV_noRSV_311ln_KryoWrite_3cyc_LS_LS_283ln_KryoWrite_3cyc_LS_LS_LS_310ln_KryoWrite_3cyc_LS_LS_LS_309ln_KryoWrite_3cyc_LS_LS_284ln_KryoWrite_3cyc_LS_LS_LS_LS_330ln_KryoWrite_3cyc_LS_LS_LS_LS_329ln_KryoWrite_3cyc_XY_XY_X_X_27ln_KryoWrite_3cyc_XY_4ln_KryoWrite_3cyc_LS_noRSV_286ln_KryoWrite_3cyc_LS_noRSV_285ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_348ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_noRSV_358ln_KryoWrite_3cyc_LS_noRSV_noRSV_312ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_349ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_noRSV_359ln_KryoWrite_3cyc_XY_XY_XY_XY_177ln_KryoWrite_3cyc_XY_noRSV_184ln_KryoWrite_3cyc_XY_XY_237ln_KryoWrite_3cyc_X_X_155ln_KryoWrite_3cyc_X_noRSV_138ln_KryoWrite_3cyc_XY_noRSV_247ln_KryoWrite_3cyc_XY_XY_250ln_KryoWrite_3cyc_XY_noRSV_246ln_KryoWrite_3cyc_XY_XY_251ln_KryoWrite_3cyc_XY_noRSV_101ln_KryoWrite_3cyc_XY_XY_103ln_KryoWrite_3cyc_XY_noRSV_100ln_KryoWrite_3cyc_XY_noRSV_162ln_KryoWrite_3cyc_XY_noRSV_156ln_KryoWrite_3cyc_XY_noRSV_99ln_KryoWrite_3cyc_XY_noRSV_28ln_KryoWrite_3cyc_XY_XY_168ln_KryoWrite_3cyc_XY_XY_102ln_KryoWrite_3cyc_X_X_X_X_noRSV_223ln_KryoWrite_3cyc_X_X_X_X_X_X_X_X_227ln_KryoWrite_3cyc_XY_noRSV_30ln_KryoWrite_3cyc_LS_noRSV_287ln_KryoWrite_3cyc_LS_264ln_KryoWrite_3cyc_LS_noRSV_288ln_KryoWrite_3cyc_LS_263ln_KryoWrite_3cyc_XY_XY_164ln_KryoWrite_3cyc_XY_XY_166ln_KryoWrite_3cyc_XY_XY_167ln_KryoWrite_3cyc_XY_XY_165ln_KryoWrite_3cyc_XY_noRSV_29ln_KryoWrite_3cyc_X_X_139ln_KryoWrite_3cyc_XY_XY_X_X_26ln_KryoWrite_3cyc_XY_noRSV_107ln_KryoWrite_3cyc_XY_noRSV_157ln_KryoWrite_3cyc_XY_noRSV_159ln_KryoWrite_3cyc_X_noRSV_154ln_KryoWrite_3cyc_XY_noRSV_158ln_KryoWrite_3cyc_XY_noRSV_69ln_KryoWrite_3cyc_XY_noRSV_248ln_KryoWrite_3cyc_XY_noRSV_249ln_KryoWrite_3cyc_XY_noRSV_161ln_KryoWrite_3cyc_XY_noRSV_160ln_KryoWrite_3cyc_X_noRSV_244ln_KryoWrite_3cyc_XY_noRSV_68ln_KryoWrite_3cyc_XY_XY_noRSV_170ln_KryoWrite_3cyc_XY_XY_187ln_KryoWrite_3cyc_X_X_245ln_KryoWrite_3cyc_XY_XY_XY_noRSV_179ln_KryoWrite_3cyc_XY_noRSV_50ln_KryoWrite_3cyc_X_X_X_X_X_noRSV_225ln_KryoWrite_3cyc_X_X_X_X_X_X_X_noRSV_228ln_KryoWrite_3cyc_LS_261ln_KryoWrite_3cyc_LS_262ln_KryoWrite_3cyc_LS_260ln_KryoWrite_3cyc_LS_259ln_KryoWrite_3cyc_LS_258_1ln_KryoWrite_3cyc_LS_X_13ln_KryoWrite_3cyc_LS_LS_X_15ln_KryoWrite_3cyc_LS_Y_404ln_KryoWrite_3cyc_LS_LS_Y_307ln_KryoWrite_3cyc_XY_noRSV_186ln_KryoWrite_3cyc_XY_noRSV_163ln_KryoWrite_3cyc_LS_LS_400ln_WriteLD_WriteLDIdx_THX2T99Write_3Cyc_I1
1645  { 4, 110}, // #138 CyWriteVMul
1646  { 5, 1028}, // #139 WriteI
1647  {10, 97}, // #140 CyWriteDMul
1648  { 2, 108}, // #141 CyWriteVADDLP
1649  { 3, 107}, // #142 CyWriteVABD
1650  { 2, 111}, // #143 CyWriteVSHR
1651  { 3, 112}, // #144 CyWriteVSRSHR
1652  { 3, 113}, // #145 CyWriteVShiftAcc
1653  { 5, 106}, // #146 CyWriteV64Mul
1654  { 8, 100}, // #147 CyWriteSMul
1655  { 3, 1028}, // #148 WriteI
1656  { 2, 1034}, // #149 WriteIS
1657  {33,  0}, // #150 WriteFDiv_A53WriteFDivDP
1658  { 4,  0}, // #151 WriteLD
1659  { 4,  0}, // #152 WriteLDHi_A53WriteVLD1_A53WriteVST1
1660  { 0,  0}, // #153 WriteAdr
1661  { 4, 1033}, // #154 WriteIM64
1662  { 3, 1036}, // #155 WriteImm
1663  { 4, 1029}, // #156 WriteID32
1664  { 4, 1030}, // #157 WriteID64
1665  { 0,  0}, // #158 WriteAdr
1666  { 4,  0}, // #159 WriteSTP
1667  { 3, 1028}, // #160 WriteI
1668  { 4,  0}, // #161 WriteLD
1669  { 3, 1028}, // #162 WriteI
1670  { 1,  0}, // #163 WriteBrReg
1671  { 5,  0}, // #164 A53WriteVLD2_A53WriteVST2
1672  { 0,  0}, // #165 WriteAdr
1673  { 6,  0}, // #166 A53WriteVLD3_A53WriteVST3_WriteAdr_THXT8XWriteVLD1
1674  { 0,  0}, // #167 WriteAdr_KryoWrite_0cyc_LS_Y_LS_Y_323ln_KryoWrite_0cyc_LS_Y_LS_Y_XY_LS_Y_LS_Y_377ln_KryoWrite_0cyc_LS_Y_LS_Y_XY_LS_Y_LS_Y_379ln
1675  { 7,  0}, // #168 A53WriteVLD4
1676  { 0,  0}, // #169 WriteAdr
1677  { 8,  0}, // #170 A53WriteVLD5
1678  { 0,  0}, // #171 WriteAdr
1679  {18,  0}, // #172 A53WriteFDivSP_M3WriteFSQR17_M3WriteNEONX
1680  {32,  0}, // #173 A53WriteFSqrtDP_A57Write_32cyc_1W
1681  { 3, 1035}, // #174 WriteISReg
1682  { 3, 1031}, // #175 WriteIEReg
1683  { 3, 1032}, // #176 WriteIM32
1684  {19,  0}, // #177 A57Write_19cyc_1M
1685  {35,  0}, // #178 A57Write_35cyc_1M
1686  { 1,  0}, // #179 A57Write_1cyc_1I_A57Write_1cyc_1S_M3WriteZ1_WriteVST_M3WriteSC_M4WriteZ1_M4WriteVST1_M4WriteVSTJ_M5WriteZ1_M5WriteVST1_M5WriteVSTJ_WriteAdr_THX2T99Write_1Cyc_LS01_F01
1687  { 1,  0}, // #180 A57Write_1cyc_1S_A57Write_1cyc_1I_A57Write_1cyc_1I_1S_M3WriteS1_M3WriteZ1_M4WriteS1_M4WriteA1_M4WriteZ1_M5WriteS1_M5WriteA1X_M5WriteZ1_WriteSTP_WriteAdr
1688  { 1,  0}, // #181 A57Write_1cyc_1I_M3WriteA1_M4WriteA1_M5WriteA1W_M5WriteZ1
1689  { 4,  0}, // #182 A57Write_4cyc_1L_M3WriteL4_M4WriteL4_M5WriteL4_M5WriteVSTC_M5WriteVSTG_M5WriteVSTF_M5WriteVSTL
1690  { 1,  0}, // #183 A57Write_1cyc_1I_M3WriteA1_M4WriteA1_M5WriteA1W_M5WriteA1X
1691  { 1,  0}, // #184 A57Write_1cyc_1B_M3WriteC1_M4WriteC1_M5WriteC1_M5WriteZ1
1692  {10,  0}, // #185 A57Write_10cyc_3L_4V_M3WriteVLDF_M4WriteVLDF
1693  { 1,  0}, // #186 A57Write_1cyc_1I_M3WriteA1_M4WriteA1
1694  {11,  0}, // #187 A57Write_11cyc_4L_4V_M5WriteVLDF_FalkorWr_1X_1Z_11cyc_THXT8XWriteVLD2_THXT8XWriteVST3
1695  {11,  0}, // #188 A57Write_11cyc_4L_4V
1696  { 1,  0}, // #189 A57Write_1cyc_1I
1697  { 3,  0}, // #190 A57Write_3cyc_1S_1V_A57Write_3cyc_2S_1V_A57Write_3cyc_3S_A57Write_3cyc_3S_2V_WriteVST_M4WriteVST1
1698  { 1,  0}, // #191 A57Write_1cyc_1I_M3WriteZ1_M4WriteA1
1699  { 2,  0}, // #192 A57Write_2cyc_2S_WriteVST_M4WriteVST1_M4WriteVSTD_M4WriteVSTE
1700  { 1,  0}, // #193 A57Write_1cyc_1I_M3WriteZ1_M4WriteA1
1701  {34,  0}, // #194 A57Write_34cyc_2W
1702  {64,  0}, // #195 A57Write_64cyc_2W
1703  { 3, 33}, // #196 A57Write_3cyc_1W
1704  { 5, 19}, // #197 A57WriteIVMA
1705  { 4, 18}, // #198 A57WriteIVA
1706  { 9, 15}, // #199 A57WriteFPVMAD
1707  {10, 16}, // #200 A57WriteFPVMAQ
1708  {12,  0}, // #201 A57Write_12cyc_4V_A57Write_12cyc_7V_WriteFDiv_M3WriteVLDI_M3WriteFDIV12_M3WriteNEONW_M4WriteFDIV12_M4WriteD12_M4WriteVLDI_M4WriteNEONW_M4WriteNEONY_M4WriteFSQR12_M5WriteFDIV12_M5WriteNEONW_M5WriteNEONY_M5WriteFSQR12_FalkorWr_1VX_1VY_12cyc_WriteAdr_KryoWrite_12cyc_XA_Y_noRSV_120ln_KryoWrite_12cyc_XA_Y_noRSV_42ln_THXT8XWriteVLD3_THXT8XWriteFDivSP
1709  {15,  0}, // #202 A57Write_15cyc_9V_M3WriteVSTF_M5WriteVLDN_M5WriteLFW_M5WriteLFX
1710  { 9, 14}, // #203 A57WriteFPMA
1711  { 5,  0}, // #204 A57Write_5cyc_1L_A57Write_5cyc_1I_2L
1712  { 4,  0}, // #205 WriteLDHi
1713  { 1,  0}, // #206 A57Write_1cyc_1I
1714  {12, 1029}, // #207 WriteID32
1715  {21, 1030}, // #208 WriteID64
1716  {12,  0}, // #209 M3WriteVLDI_M4WriteVLDI
1717  { 1,  0}, // #210 M3WriteA1_M4WriteA1
1718  {14,  0}, // #211 M3WriteVLDN_M3WriteVSTH_M4WriteVLDN_FalkorWr_1VX_1VY_14cyc_FalkorWr_2VX_2VY_14cyc_KryoWrite_14cyc_XA_Y_noRSV_43ln_KryoWrite_14cyc_XA_Y_XA_Y_123ln
1719  {14,  0}, // #212 M3WriteVLDN_M3WriteVSTH_M4WriteVLDN
1720  { 1,  0}, // #213 M3WriteA1_M3WriteZ1_M4WriteA1
1721  {16,  0}, // #214 M3WriteVSTG_M5WriteD16_THX2T99XWriteFDivSP_THX2T99XWriteFSqrtSP
1722  {15,  0}, // #215 M3WriteVSTF
1723  { 1,  0}, // #216 M3WriteZ1
1724  {16,  0}, // #217 M3WriteVSTG
1725  { 1,  0}, // #218 M3WriteZ1
1726  {17,  0}, // #219 M3WriteVSTI
1727  { 1,  0}, // #220 M3WriteZ1
1728  { 4, 638}, // #221 M3WriteFMAC4
1729  { 1, 618}, // #222 M3WriteAES
1730  { 3, 670}, // #223 M3WriteNMUL3
1731  {25,  0}, // #224 M3WriteNEONY_M3WriteFSQR25
1732  { 5, 639}, // #225 M3WriteFMAC5
1733  {21,  0}, // #226 M4WriteD21_FalkorWr_2VX_2VY_21cyc_FalkorWr_1VX_1VY_21cyc_KryoWrite_21cyc_XA_Y_XA_Y_122ln_KryoWrite_21cyc_XA_Y_noRSV_42ln
1734  { 4, 741}, // #227 M4WriteFMAC4H
1735  { 4, 740}, // #228 M4WriteFMAC4
1736  { 1, 758}, // #229 M4WriteNCRY1
1737  { 3, 783}, // #230 M4WriteNMUL3
1738  {13,  0}, // #231 M5WriteLGW_M5WriteVLDI_M5WriteLGX_THXT8XWriteVLD4_THXT8XWriteVLD5
1739  { 7,  0}, // #232 M5WriteVLDD_M5WriteVLDB_M5WriteVLDC_M5WriteVLDG
1740  { 1,  0}, // #233 M5WriteA1X
1741  { 1,  0}, // #234 M5WriteZ1
1742  { 6,  0}, // #235 M5WriteL6_M5WriteVLDA
1743  { 1,  0}, // #236 M5WriteA1X
1744  { 1,  0}, // #237 M5WriteZ1
1745  {11,  0}, // #238 M5WriteVLDF
1746  { 1,  0}, // #239 M5WriteA1X
1747  { 1,  0}, // #240 M5WriteZ1
1748  { 8,  0}, // #241 M5WriteVLDL_M5WriteVLDM_M5WriteVSTI_M5WriteVLDJ_M5WriteVLDK
1749  { 1,  0}, // #242 M5WriteA1X
1750  { 1,  0}, // #243 M5WriteZ1
1751  {13,  0}, // #244 M5WriteVLDI
1752  { 1,  0}, // #245 M5WriteA1X
1753  { 1,  0}, // #246 M5WriteZ1
1754  {15,  0}, // #247 M5WriteVLDN
1755  { 1,  0}, // #248 M5WriteA1X
1756  { 1,  0}, // #249 M5WriteZ1
1757  { 2,  0}, // #250 M5WriteVSTA_M5WriteVSTD_M5WriteVSTE
1758  { 1,  0}, // #251 M5WriteA1X
1759  { 1,  0}, // #252 M5WriteZ1
1760  { 3,  0}, // #253 M5WriteVSTB
1761  { 1,  0}, // #254 M5WriteA1X
1762  { 1,  0}, // #255 M5WriteZ1
1763  { 4, 863}, // #256 M5WriteFMAC4
1764  { 2, 887}, // #257 M5WriteNCRY2
1765  { 2, 850}, // #258 M5WriteF2
1766  { 3, 910}, // #259 M5WriteNMUL3
1767  { 2, 216}, // #260 FalkorWr_LdInc_none_2cyc
1768  { 3,  0}, // #261 FalkorWr_1LD_3cyc_FalkorWr_2LD_3cyc_FalkorWr_3LD_3cyc_FalkorWr_4LD_3cyc_FalkorWr_1LD_1none_3cyc_FalkorWr_2LD_1none_3cyc_FalkorWr_2LD_2none_3cyc
1769  { 2, 216}, // #262 FalkorWr_LdInc_none_2cyc
1770  { 4,  0}, // #263 FalkorWr_2LD_2VXVY_1XYZ_2LD_2VXVY_4cyc_FalkorWr_2LD_2VXVY_2LD_1XYZ_2VXVY_4cyc_FalkorWr_1LD_1VXVY_4cyc_FalkorWr_1LD_2VXVY_4cyc_FalkorWr_1LD_3VXVY_4cyc_FalkorWr_2LD_2VXVY_1none_4cyc_FalkorWr_1LD_4VXVY_4cyc_FalkorWr_2LD_2VXVY_2none_4cyc_FalkorWr_1LD_4cyc
1771  { 2, 223}, // #264 FalkorWr_StInc_none_2cyc
1772  { 0,  0}, // #265 FalkorWr_1VSD_1ST_0cyc_FalkorWr_2VSD_2ST_0cyc_FalkorWr_1SD_1ST_0cyc_WriteAdr_THX2T99Write_1Cyc_LS01
1773  { 1,  0}, // #266 FalkorWr_1XYZ_1cyc_WriteSTP_THXT8XWriteVST1_WriteAdr
1774  { 0,  0}, // #267 FalkorWr_1VSD_1ST_0cyc_FalkorWr_2VSD_2ST_0cyc_FalkorWr_3VSD_3ST_0cyc_FalkorWr_4VSD_4ST_0cyc_FalkorWr_2VXVY_4ST_4VSD_0cyc_FalkorWr_4VXVY_4ST_4VSD_0cyc_FalkorWr_1VXVY_2ST_2VSD_0cyc_FalkorWr_2VXVY_2ST_2VSD_0cyc_WriteAdr_THX2T99Write_1Cyc_LS01_THX2T99Write_1Cyc_LS01_I012_THX2T99Write_1Cyc_LS01_I012_I012
1775  {20,  0}, // #268 FalkorWr_2VX_2VY_20cyc
1776  { 5, 208}, // #269 FalkorWr_FMUL32_2VXVY_5cyc
1777  { 6, 210}, // #270 FalkorWr_FMUL64_2VXVY_6cyc
1778  { 5, 213}, // #271 FalkorWr_IMUL64_1X_5cyc
1779  { 4, 224}, // #272 FalkorWr_VMUL32_1VXVY_4cyc
1780  { 4, 225}, // #273 FalkorWr_VMUL32_2VXVY_4cyc
1781  {24,  0}, // #274 FalkorWr_2VX_2VY_24cyc
1782  { 6, 209}, // #275 FalkorWr_FMUL64_1VXVY_6cyc
1783  { 5, 207}, // #276 FalkorWr_FMUL32_1VXVY_5cyc
1784  { 3,  0}, // #277 FalkorWr_1LD_1none_3cyc_FalkorWr_2LD_3cyc_FalkorWr_1LD_3cyc_WriteLD
1785  { 3,  0}, // #278 FalkorWr_none_3cyc_WriteLDHi
1786  { 2, 216}, // #279 FalkorWr_LdInc_none_2cyc
1787  { 3,  0}, // #280 FalkorWr_1LD_1none_3cyc_FalkorWr_2LD_3cyc_FalkorWr_1LD_3cyc
1788  { 3,  0}, // #281 FalkorWr_none_3cyc
1789  { 2, 216}, // #282 FalkorWr_LdInc_none_2cyc
1790  { 4,  0}, // #283 FalkorWr_1LD_4cyc
1791  { 4,  0}, // #284 FalkorWr_none_4cyc
1792  { 4, 212}, // #285 FalkorWr_IMUL64_1X_4cyc
1793  { 4, 211}, // #286 FalkorWr_IMUL32_1X_2cyc
1794  { 5, 1032}, // #287 WriteIM32
1795  { 8, 1029}, // #288 WriteID32
1796  { 8, 1030}, // #289 WriteID64
1797  { 1, 1028}, // #290 WriteI
1798  { 4,  0}, // #291 WriteLD
1799  { 1, 1028}, // #292 WriteI
1800  { 1,  0}, // #293 WriteBrReg
1801  { 3,  0}, // #294 KryoWrite_3cyc_LS_XY_289ln_KryoWrite_3cyc_LS_XY_290ln_KryoWrite_3cyc_LS_XY_LS_313ln_KryoWrite_3cyc_LS_XY_LS_LS_332ln_KryoWrite_3cyc_LS_LS_LS_LS_328ln_KryoWrite_3cyc_LS_XY_291ln_KryoWrite_3cyc_LS_XY_LS_314ln_KryoWrite_3cyc_LS_XY_noRSV_noRSV_334ln_KryoWrite_3cyc_LS_XY_LS_315ln_KryoWrite_3cyc_LS_XY_LS_LS_333ln_KryoWrite_3cyc_LS_XY_LS_LS_331ln_KryoWrite_3cyc_LS_XY_LS_316ln_KryoWrite_3cyc_LS_XY_LS_LS_LS_352ln_KryoWrite_3cyc_LS_XY_LS_LS_LS_350ln_KryoWrite_3cyc_LS_XY_noRSV_318ln_KryoWrite_3cyc_LS_XY_noRSV_317ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_360ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_noRSV_368ln_KryoWrite_3cyc_LS_XY_noRSV_noRSV_335ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_361ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_noRSV_369ln_KryoWrite_3cyc_LS_XY_noRSV_319ln_KryoWrite_3cyc_LS_XY_293ln_KryoWrite_3cyc_LS_XY_292ln
1802  { 6,  0}, // #295 WriteAdr
1803  {36,  0}, // #296 KryoWrite_36cyc_XA_Y_XA_Y_122ln
1804  { 3,  0}, // #297 KryoWrite_3cyc_LS_noRSV_noRSV_408ln_KryoWrite_3cyc_LS_LS_401ln_KryoWrite_3cyc_LS_noRSV_noRSV_409ln_KryoWrite_3cyc_LS_LS_402ln_KryoWrite_3cyc_LS_394ln_KryoWrite_3cyc_LS_393ln_KryoWrite_3cyc_LS_258ln_KryoWrite_3cyc_LS_LS_400ln
1805  { 4,  0}, // #298 WriteLDHi
1806  { 3,  0}, // #299 KryoWrite_3cyc_LS_XY_noRSV_noRSV_411ln_KryoWrite_3cyc_LS_XY_LS_410ln_KryoWrite_3cyc_LS_XY_403ln
1807  { 4,  0}, // #300 WriteLDHi
1808  { 6,  0}, // #301 WriteAdr
1809  {22,  0}, // #302 WriteFDiv_THXT8XWriteFDivDP
1810  { 3,  0}, // #303 WriteLD
1811  { 3,  0}, // #304 WriteLDHi
1812  { 0,  0}, // #305 WriteAdr
1813  {14, 1030}, // #306 WriteID64
1814  { 1, 1028}, // #307 WriteI
1815  { 3,  0}, // #308 WriteLD
1816  { 1, 1028}, // #309 WriteI
1817  { 1,  0}, // #310 WriteBrReg
1818  {11,  0}, // #311 THXT8XWriteVLD2_THXT8XWriteVST3
1819  { 0,  0}, // #312 WriteAdr
1820  {12,  0}, // #313 THXT8XWriteVLD3
1821  { 0,  0}, // #314 WriteAdr
1822  {13,  0}, // #315 THXT8XWriteVLD4_THXT8XWriteVLD5
1823  { 0,  0}, // #316 WriteAdr
1824  {10,  0}, // #317 THXT8XWriteVST2
1825  { 0,  0}, // #318 WriteAdr
1826  {31,  0}, // #319 THXT8XWriteFSqrtDP
1827  { 1, 1031}, // #320 WriteIEReg
1828  { 4,  0}, // #321 WriteLD
1829  { 5,  0}, // #322 WriteLDHi
1830  { 4,  0}, // #323 WriteLD
1831  { 5,  0}, // #324 WriteLDHi
1832  { 1,  0}, // #325 WriteAdr
1833  {39, 1029}, // #326 WriteID32
1834  {23, 1030}, // #327 WriteID64
1835  {23,  0}, // #328 THX2T99XWriteFDivDP_THX2T99XWriteFSqrtDP
1836  { 5,  0}, // #329 THX2T99Write_5Cyc_LS01_I012
1837  { 1, 1028}, // #330 WriteI
1838  {16,  0}, // #331 THX2T99Write_16Cyc_I012
1839  { 4,  0}, // #332 WriteAtomic
1840  { 8,  0}, // #333 THX2T99Write_8Cyc_I012
1841  { 4,  0}, // #334 WriteAtomic
1842  {12,  0}, // #335 THX2T99Write_12Cyc_I012
1843  { 4,  0} // #336 WriteAtomic
1844}; // AArch64WriteLatencyTable
1845
1846// {UseIdx, WriteResourceID, Cycles}
1847extern const llvm::MCReadAdvanceEntry AArch64ReadAdvanceTable[] = {
1848  {0,  0,  0}, // Invalid
1849  {0,  0,  0}, // #1
1850  {1,  0,  0}, // #2
1851  {0,  0,  1}, // #3
1852  {0,  0,  0}, // #4
1853  {1,  0,  0}, // #5
1854  {2,  0,  0}, // #6
1855  {0,  0,  5}, // #7
1856  {0, 107,  1}, // #8
1857  {0, 108,  1}, // #9
1858  {0, 109,  1}, // #10
1859  {0, 106,  1}, // #11
1860  {0, 110,  1}, // #12
1861  {0, 97,  5}, // #13
1862  {0, 111,  1}, // #14
1863  {0, 112,  1}, // #15
1864  {0, 113,  1}, // #16
1865  {0, 100,  4}, // #17
1866  {0, 1028,  2}, // #18
1867  {0, 1029,  2}, // #19
1868  {0, 1030,  2}, // #20
1869  {0, 1031,  2}, // #21
1870  {0, 1032,  2}, // #22
1871  {0, 1033,  2}, // #23
1872  {0, 1034,  2}, // #24
1873  {0, 1035,  2}, // #25
1874  {0, 1036,  2}, // #26
1875  {1, 1028,  2}, // #27
1876  {1, 1029,  2}, // #28
1877  {1, 1030,  2}, // #29
1878  {1, 1031,  2}, // #30
1879  {1, 1032,  2}, // #31
1880  {1, 1033,  2}, // #32
1881  {1, 1034,  2}, // #33
1882  {1, 1035,  2}, // #34
1883  {1, 1036,  2}, // #35
1884  {0, 1028,  1}, // #36
1885  {0, 1029,  1}, // #37
1886  {0, 1030,  1}, // #38
1887  {0, 1031,  1}, // #39
1888  {0, 1032,  1}, // #40
1889  {0, 1033,  1}, // #41
1890  {0, 1034,  1}, // #42
1891  {0, 1035,  1}, // #43
1892  {0, 1036,  1}, // #44
1893  {1, 1028,  1}, // #45
1894  {1, 1029,  1}, // #46
1895  {1, 1030,  1}, // #47
1896  {1, 1031,  1}, // #48
1897  {1, 1032,  1}, // #49
1898  {1, 1033,  1}, // #50
1899  {1, 1034,  1}, // #51
1900  {1, 1035,  1}, // #52
1901  {1, 1036,  1}, // #53
1902  {2, 1028,  2}, // #54
1903  {2, 1029,  2}, // #55
1904  {2, 1030,  2}, // #56
1905  {2, 1031,  2}, // #57
1906  {2, 1032,  2}, // #58
1907  {2, 1033,  2}, // #59
1908  {2, 1034,  2}, // #60
1909  {2, 1035,  2}, // #61
1910  {2, 1036,  2}, // #62
1911  {0, 1028,  2}, // #63
1912  {0, 1029,  2}, // #64
1913  {0, 1030,  2}, // #65
1914  {0, 1031,  2}, // #66
1915  {0, 1032,  2}, // #67
1916  {0, 1033,  2}, // #68
1917  {0, 1034,  2}, // #69
1918  {0, 1035,  2}, // #70
1919  {0, 1036,  2}, // #71
1920  {1, 1028,  1}, // #72
1921  {1, 1029,  1}, // #73
1922  {1, 1030,  1}, // #74
1923  {1, 1031,  1}, // #75
1924  {1, 1032,  1}, // #76
1925  {1, 1033,  1}, // #77
1926  {1, 1034,  1}, // #78
1927  {1, 1035,  1}, // #79
1928  {1, 1036,  1}, // #80
1929  {0,  0,  0}, // #81
1930  {1,  0,  0}, // #82
1931  {2, 1032,  2}, // #83
1932  {2, 1033,  2}, // #84
1933  {0, 33,  3}, // #85
1934  {0, 19,  4}, // #86
1935  {0, 18,  3}, // #87
1936  {0, 15,  5}, // #88
1937  {0, 16,  5}, // #89
1938  {0,  0,  0}, // #90
1939  {1,  0,  0}, // #91
1940  {2, 14,  5}, // #92
1941  {0,  0,  0}, // #93
1942  {1,  0,  0}, // #94
1943  {2, 1032,  3}, // #95
1944  {2, 1033,  3}, // #96
1945  {0, 638,  1}, // #97
1946  {0, 639,  1}, // #98
1947  {0, 618,  1}, // #99
1948  {0, 670,  1}, // #100
1949  {0,  0,  1}, // #101
1950  {0, 740,  1}, // #102
1951  {0, 741,  1}, // #103
1952  {0, 758,  1}, // #104
1953  {0, 783,  1}, // #105
1954  {0, 783, -2}, // #106
1955  {0,  0,  1}, // #107
1956  {0, 863,  1}, // #108
1957  {0, 887,  2}, // #109
1958  {0, 850,  1}, // #110
1959  {0, 910,  1}, // #111
1960  {0, 216,  1}, // #112
1961  {1, 223,  1}, // #113
1962  {0, 224,  3}, // #114
1963  {0, 225,  3}, // #115
1964  {0, 209,  2}, // #116
1965  {0, 210,  2}, // #117
1966  {0, 207,  1}, // #118
1967  {0, 208,  1}, // #119
1968  {2, 209,  2}, // #120
1969  {2, 210,  2}, // #121
1970  {2, 223,  1}, // #122
1971  {2, 207,  1}, // #123
1972  {2, 208,  1}, // #124
1973  {2, 212,  4}, // #125
1974  {2, 213,  4}, // #126
1975  {2, 211,  3}, // #127
1976  {3, 223,  1}, // #128
1977  {0,  0,  2} // #129
1978}; // AArch64ReadAdvanceTable
1979
1980// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
1981static const llvm::MCSchedClassDesc CycloneModelSchedClasses[] = {
1982  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
1983  {DBGFIELD("WriteV")             1, false, false,  1, 1,  1, 1,  0, 0}, // #1
1984  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false,  2, 1,  2, 1,  1, 2}, // #2
1985  {DBGFIELD("WriteI_ReadI")       1, false, false,  2, 1,  2, 1,  0, 1}, // #3
1986  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false,  3, 2,  3, 1,  1, 2}, // #4
1987  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false,  3, 2,  4, 1,  1, 2}, // #5
1988  {DBGFIELD("WriteAdr")           1, false, false,  2, 1,  5, 1,  0, 0}, // #6
1989  {DBGFIELD("WriteI")             1, false, false,  2, 1,  2, 1,  0, 0}, // #7
1990  {DBGFIELD("WriteIS_ReadI")      1, false, false,  5, 2,  6, 1,  0, 1}, // #8
1991  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  7, 1,  0, 0}, // #9
1992  {DBGFIELD("WriteBr")            1, false, false,  7, 2,  0, 1,  0, 0}, // #10
1993  {DBGFIELD("WriteBrReg")         1, false, false,  9, 3,  0, 1,  0, 0}, // #11
1994  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
1995  {DBGFIELD("WriteBarrier")       1, false, false, 12, 1,  5, 1,  0, 0}, // #13
1996  {DBGFIELD("WriteExtr_ReadExtrHi") 2, false, false,  3, 2,  1, 1,  3, 1}, // #14
1997  {DBGFIELD("WriteF")             1, false, false,  1, 1,  1, 1,  0, 0}, // #15
1998  {DBGFIELD("WriteFCmp")          1, false, false, 13, 3,  8, 1,  0, 0}, // #16
1999  {DBGFIELD("WriteFCvt")          1, false, false,  1, 1,  8, 1,  0, 0}, // #17
2000  {DBGFIELD("WriteFDiv")          1, false, false, 16, 3,  9, 1,  0, 0}, // #18
2001  {DBGFIELD("WriteFMul")          1, false, false, 19, 2,  8, 1,  0, 0}, // #19
2002  {DBGFIELD("WriteFCopy")         1, false, false, 12, 1, 10, 1,  0, 0}, // #20
2003  {DBGFIELD("WriteFImm")          1, false, false,  1, 1,  1, 1,  0, 0}, // #21
2004  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  0, 1,  0, 0}, // #22
2005  {DBGFIELD("WriteST")            1, false, false, 12, 1,  8, 1,  0, 0}, // #23
2006  {DBGFIELD("WriteLD")            1, false, false, 12, 1,  8, 1,  0, 0}, // #24
2007  {DBGFIELD("WriteLD_WriteLDHi")  2, false, false, 12, 1, 11, 2,  0, 0}, // #25
2008  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 11, 2, 13, 3,  0, 0}, // #26
2009  {DBGFIELD("WriteLD_WriteAdr")   2, false, false, 11, 2, 14, 2,  0, 0}, // #27
2010  {DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #28
2011  {DBGFIELD("WriteLDAdr")         2, false, false, 11, 2, 10, 1,  0, 0}, // #29
2012  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 21, 4, 16, 1,  4, 3}, // #30
2013  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 21, 4, 17, 1,  4, 3}, // #31
2014  {DBGFIELD("WriteImm")           1, false, false,  2, 1, 18, 1,  0, 0}, // #32
2015  {DBGFIELD("WriteAdrAdr")        2, false, false,  3, 1,  1, 1,  0, 0}, // #33
2016  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 25, 4, 19, 1,  1, 2}, // #34
2017  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 29, 4, 20, 1,  1, 2}, // #35
2018  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 21, 4, 17, 1,  1, 2}, // #36
2019  {DBGFIELD("WriteSTP")           2, false, false, 12, 2, 21, 1,  0, 0}, // #37
2020  {DBGFIELD("WriteAdr_WriteSTP")  3, false, false, 11, 3, 22, 2,  0, 0}, // #38
2021  {DBGFIELD("WriteAdr_WriteST")   2, false, false, 11, 2, 24, 2,  0, 0}, // #39
2022  {DBGFIELD("WriteSTX")           2, false, false, 33, 1, 26, 1,  0, 0}, // #40
2023  {DBGFIELD("WriteSTIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #41
2024  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 34, 4, 27, 4,  0, 0}, // #42
2025  {DBGFIELD("COPY")               16382, false, false,  0, 0,  0, 0,  0, 0}, // #43
2026  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 12, 2, 31, 1,  7, 1}, // #44
2027  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 2, false, false, 12, 2, 31, 1,  0, 0}, // #45
2028  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 12, 1, 10, 1,  0, 0}, // #46
2029  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 33, 1, 32, 2,  0, 0}, // #47
2030  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 38, 1, 34, 3,  0, 0}, // #48
2031  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 39, 1, 32, 4,  0, 0}, // #49
2032  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 11, 3, 37, 2,  7, 1}, // #50
2033  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 3, false, false, 11, 3, 37, 2,  0, 0}, // #51
2034  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 11, 2, 39, 2,  0, 0}, // #52
2035  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 40, 2, 41, 3,  0, 0}, // #53
2036  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 42, 2, 44, 4,  0, 0}, // #54
2037  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 44, 2, 48, 5,  0, 0}, // #55
2038  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 46, 2, 53, 2,  7, 1}, // #56
2039  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 3, false, false, 46, 2, 53, 2,  0, 0}, // #57
2040  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 3, false, false, 46, 2, 53, 2,  0, 0}, // #58
2041  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 4, false, false, 48, 2, 55, 2,  0, 0}, // #59
2042  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 50, 3, 57, 3,  7, 1}, // #60
2043  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 4, false, false, 50, 3, 57, 3,  0, 0}, // #61
2044  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 4, false, false, 50, 3, 57, 3,  0, 0}, // #62
2045  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 53, 3, 60, 3,  0, 0}, // #63
2046  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 56, 2, 63, 3,  7, 1}, // #64
2047  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 5, false, false, 56, 2, 63, 3,  0, 0}, // #65
2048  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 6, false, false, 58, 2, 55, 3,  0, 0}, // #66
2049  {DBGFIELD("LD3Threev2d")        6, false, false, 58, 2, 55, 3,  0, 0}, // #67
2050  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 60, 3, 66, 4,  7, 1}, // #68
2051  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 6, false, false, 60, 3, 66, 4,  0, 0}, // #69
2052  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 7, false, false, 63, 3, 60, 4,  0, 0}, // #70
2053  {DBGFIELD("LD3Threev2d_POST")   7, false, false, 63, 3, 60, 4,  0, 0}, // #71
2054  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 66, 2, 70, 4,  7, 1}, // #72
2055  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 6, false, false, 66, 2, 70, 4,  0, 0}, // #73
2056  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 12, false, false, 68, 2, 74, 4,  0, 0}, // #74
2057  {DBGFIELD("LD4Fourv2d")         12, false, false, 68, 2, 74, 4,  0, 0}, // #75
2058  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 6, false, false, 60, 3, 66, 4,  7, 1}, // #76
2059  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 7, false, false, 70, 3, 78, 5,  0, 0}, // #77
2060  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 13, false, false, 73, 3, 83, 5,  0, 0}, // #78
2061  {DBGFIELD("LD4Fourv2d_POST")    13, false, false, 73, 3, 83, 5,  0, 0}, // #79
2062  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 12, 2, 21, 1,  0, 0}, // #80
2063  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 12, 1,  8, 1,  0, 0}, // #81
2064  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 33, 1, 11, 2,  0, 0}, // #82
2065  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 38, 1, 11, 3,  0, 0}, // #83
2066  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 39, 1, 11, 4,  0, 0}, // #84
2067  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #85
2068  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 11, 2, 24, 2,  0, 0}, // #86
2069  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 40, 2, 88, 3,  0, 0}, // #87
2070  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 4, false, false, 42, 2, 91, 4,  0, 0}, // #88
2071  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 5, false, false, 44, 2, 95, 5,  0, 0}, // #89
2072  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 12, 2, 21, 1,  0, 0}, // #90
2073  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 12, 2, 21, 1,  0, 0}, // #91
2074  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 4, false, false, 48, 2, 100, 2,  0, 0}, // #92
2075  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #93
2076  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #94
2077  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 53, 3, 102, 3,  0, 0}, // #95
2078  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 4, false, false, 48, 2, 100, 2,  0, 0}, // #96
2079  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 6, false, false, 58, 2, 105, 3,  0, 0}, // #97
2080  {DBGFIELD("ST3Threev2d")        6, false, false, 58, 2, 103, 3,  0, 0}, // #98
2081  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 5, false, false, 53, 3, 102, 3,  0, 0}, // #99
2082  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 7, false, false, 63, 3, 102, 4,  0, 0}, // #100
2083  {DBGFIELD("ST3Threev2d_POST")   7, false, false, 63, 3, 102, 4,  0, 0}, // #101
2084  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 4, false, false, 48, 2, 100, 2,  0, 0}, // #102
2085  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 12, false, false, 68, 2, 108, 4,  0, 0}, // #103
2086  {DBGFIELD("ST4Fourv2d")         12, false, false, 68, 2, 108, 4,  0, 0}, // #104
2087  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 5, false, false, 53, 3, 102, 3,  0, 0}, // #105
2088  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 13, false, false, 73, 3, 112, 5,  0, 0}, // #106
2089  {DBGFIELD("ST4Fourv2d_POST")    13, false, false, 73, 3, 112, 5,  0, 0}, // #107
2090  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 19, 2,  8, 1,  0, 0}, // #108
2091  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #109
2092  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
2093  {DBGFIELD("FDIVSrr")            1, false, false, 16, 3,  9, 1,  0, 0}, // #111
2094  {DBGFIELD("FDIVDrr")            1, false, false, 16, 3,  9, 1,  0, 0}, // #112
2095  {DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #113
2096  {DBGFIELD("FDIVv2f64")          1, false, false,  1, 1,  1, 1,  0, 0}, // #114
2097  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 19, 2, 117, 1,  0, 0}, // #115
2098  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 19, 2, 117, 1,  0, 0}, // #116
2099  {DBGFIELD("BL")                 1, false, false,  7, 2,  0, 1,  0, 0}, // #117
2100  {DBGFIELD("BLR")                1, false, false,  9, 3,  0, 1,  0, 0}, // #118
2101  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 1, false, false,  3, 2,  3, 1,  1, 2}, // #119
2102  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false, 21, 4, 17, 1,  1, 2}, // #120
2103  {DBGFIELD("EXTRWrri")           2, false, false,  3, 2,  1, 1,  3, 1}, // #121
2104  {DBGFIELD("EXTRXrri")           2, false, false,  3, 2,  1, 1,  3, 1}, // #122
2105  {DBGFIELD("BFMWri_BFMXri")      1, false, false,  5, 2,  6, 1,  0, 1}, // #123
2106  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #124
2107  {DBGFIELD("AESDrr_AESErr")      1, false, false, 76, 2,  1, 1,  0, 0}, // #125
2108  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #126
2109  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false,  1, 1,  1, 1,  0, 0}, // #127
2110  {DBGFIELD("SHA1SU0rrr")         1, false, false, 76, 2,  1, 1,  0, 0}, // #128
2111  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false, 76, 2,  1, 1,  0, 0}, // #129
2112  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 76, 2, 21, 1,  0, 0}, // #130
2113  {DBGFIELD("SHA256SU0rr")        1, false, false, 76, 2,  1, 1,  0, 0}, // #131
2114  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 76, 2, 21, 1,  0, 0}, // #132
2115  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false,  3, 2,  3, 1,  1, 2}, // #133
2116  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 12, 2, 31, 1,  7, 1}, // #134
2117  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 11, 3, 37, 2,  7, 1}, // #135
2118  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 2, false, false, 12, 2, 31, 1,  0, 0}, // #136
2119  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 3, false, false, 11, 3, 37, 2,  0, 0}, // #137
2120  {DBGFIELD("LD1Rv1d")            2, false, false, 12, 2, 31, 1,  0, 0}, // #138
2121  {DBGFIELD("LD1Rv1d_POST")       3, false, false, 11, 3, 37, 2,  0, 0}, // #139
2122  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 12, 1, 10, 1,  0, 0}, // #140
2123  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 11, 2, 39, 2,  0, 0}, // #141
2124  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 12, 1, 10, 1,  0, 0}, // #142
2125  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 11, 2, 39, 2,  0, 0}, // #143
2126  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 2, false, false, 33, 1, 32, 2,  0, 0}, // #144
2127  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 3, false, false, 40, 2, 39, 3,  0, 0}, // #145
2128  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 2, false, false, 33, 1, 32, 2,  0, 0}, // #146
2129  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 3, false, false, 40, 2, 39, 3,  0, 0}, // #147
2130  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 46, 2, 53, 2,  7, 1}, // #148
2131  {DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 50, 3, 57, 3,  7, 1}, // #149
2132  {DBGFIELD("LD2i32")             3, false, false, 46, 2, 53, 2,  7, 1}, // #150
2133  {DBGFIELD("LD2i32_POST")        4, false, false, 50, 3, 57, 3,  7, 1}, // #151
2134  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 3, false, false, 46, 2, 53, 2,  0, 0}, // #152
2135  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 4, false, false, 50, 3, 57, 3,  0, 0}, // #153
2136  {DBGFIELD("LD2Rv1d")            3, false, false, 46, 2, 53, 2,  0, 0}, // #154
2137  {DBGFIELD("LD2Rv1d_POST")       4, false, false, 50, 3, 57, 3,  0, 0}, // #155
2138  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 4, false, false, 48, 2, 55, 2,  0, 0}, // #156
2139  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 53, 3, 60, 3,  0, 0}, // #157
2140  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 78, 2, 71, 3,  7, 1}, // #158
2141  {DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 80, 3, 118, 4,  7, 1}, // #159
2142  {DBGFIELD("LD3i32")             4, false, false, 78, 2, 71, 3,  7, 1}, // #160
2143  {DBGFIELD("LD3i32_POST")        5, false, false, 80, 3, 118, 4,  7, 1}, // #161
2144  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 4, false, false, 78, 2, 71, 3,  0, 0}, // #162
2145  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 5, false, false, 80, 3, 118, 4,  0, 0}, // #163
2146  {DBGFIELD("LD3Rv1d")            5, false, false, 56, 2, 63, 3,  0, 0}, // #164
2147  {DBGFIELD("LD3Rv1d_POST")       6, false, false, 60, 3, 66, 4,  0, 0}, // #165
2148  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 4, false, false, 78, 2, 71, 3,  0, 0}, // #166
2149  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 80, 3, 118, 4,  0, 0}, // #167
2150  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 5, false, false, 56, 2, 63, 3,  0, 0}, // #168
2151  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 6, false, false, 60, 3, 66, 4,  0, 0}, // #169
2152  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 83, 2, 122, 4,  7, 1}, // #170
2153  {DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 85, 3, 126, 5,  7, 1}, // #171
2154  {DBGFIELD("LD4i32")             5, false, false, 83, 2, 122, 4,  7, 1}, // #172
2155  {DBGFIELD("LD4i32_POST")        6, false, false, 85, 3, 126, 5,  7, 1}, // #173
2156  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 5, false, false, 83, 2, 122, 4,  0, 0}, // #174
2157  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 6, false, false, 85, 3, 126, 5,  0, 0}, // #175
2158  {DBGFIELD("LD4Rv1d")            6, false, false, 66, 2, 70, 4,  0, 0}, // #176
2159  {DBGFIELD("LD4Rv1d_POST")       7, false, false, 70, 3, 78, 5,  0, 0}, // #177
2160  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 5, false, false, 83, 2, 122, 4,  0, 0}, // #178
2161  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 6, false, false, 85, 3, 126, 5,  0, 0}, // #179
2162  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 6, false, false, 66, 2, 70, 4,  0, 0}, // #180
2163  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 7, false, false, 70, 3, 78, 5,  0, 0}, // #181
2164  {DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 12, 2, 21, 1,  0, 0}, // #182
2165  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #183
2166  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 12, 1,  8, 1,  0, 0}, // #184
2167  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 11, 2, 24, 2,  0, 0}, // #185
2168  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 12, 2, 21, 1,  0, 0}, // #186
2169  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #187
2170  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 88, 2, 131, 2,  0, 0}, // #188
2171  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 90, 3, 133, 3,  0, 0}, // #189
2172  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 48, 2, 100, 2,  0, 0}, // #190
2173  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 53, 3, 102, 3,  0, 0}, // #191
2174  {DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 12, 2, 21, 1,  0, 0}, // #192
2175  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #193
2176  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 4, false, false, 48, 2, 100, 2,  0, 0}, // #194
2177  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 53, 3, 102, 3,  0, 0}, // #195
2178  {DBGFIELD("ST3i16_ST3i8")       2, false, false, 12, 2, 21, 1,  0, 0}, // #196
2179  {DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 11, 3, 22, 2,  0, 0}, // #197
2180  {DBGFIELD("ST3i32")             2, false, false, 12, 2, 21, 1,  0, 0}, // #198
2181  {DBGFIELD("ST3i32_POST")        3, false, false, 11, 3, 22, 2,  0, 0}, // #199
2182  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 4, false, false, 48, 2, 100, 2,  0, 0}, // #200
2183  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 5, false, false, 53, 3, 102, 3,  0, 0}, // #201
2184  {DBGFIELD("ST4i16_ST4i8")       3, false, false, 46, 2, 26, 1,  0, 0}, // #202
2185  {DBGFIELD("ST4i16_POST_ST4i8_POST") 4, false, false, 50, 3, 112, 2,  0, 0}, // #203
2186  {DBGFIELD("ST4i32")             3, false, false, 46, 2, 26, 1,  0, 0}, // #204
2187  {DBGFIELD("ST4i32_POST")        4, false, false, 50, 3, 112, 2,  0, 0}, // #205
2188  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 6, false, false, 66, 2, 108, 2,  0, 0}, // #206
2189  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 70, 3, 112, 3,  0, 0}, // #207
2190  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 19, 2, 136, 1,  8, 3}, // #208
2191  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 19, 2, 136, 1,  8, 3}, // #209
2192  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
2193  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 19, 2, 136, 1,  8, 3}, // #211
2194  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #212
2195  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #213
2196  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #214
2197  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #215
2198  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #216
2199  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #217
2200  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 76, 2, 137, 1,  0, 0}, // #218
2201  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 76, 2, 137, 1,  0, 0}, // #219
2202  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 19, 2, 138, 1, 11, 2}, // #220
2203  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 19, 2, 138, 1, 11, 2}, // #221
2204  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 1, false, false, 19, 2, 138, 1, 11, 2}, // #222
2205  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 19, 2, 138, 1, 11, 2}, // #223
2206  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
2207  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #225
2208  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 76, 2, 137, 1,  0, 0}, // #226
2209  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 76, 2, 137, 1,  0, 0}, // #227
2210  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 1, false, false, 19, 2, 136, 1,  8, 3}, // #228
2211  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 19, 2, 136, 1,  8, 3}, // #229
2212  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
2213  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #231
2214  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
2215  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #233
2216  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
2217  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #235
2218  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #236
2219  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #237
2220  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #238
2221  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false,  1, 1, 10, 1,  0, 0}, // #239
2222  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false,  1, 1, 10, 1,  0, 0}, // #240
2223  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false,  1, 1,  8, 1,  0, 0}, // #241
2224  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false,  1, 1, 10, 1,  0, 0}, // #242
2225  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false,  1, 1,  1, 1,  0, 0}, // #243
2226  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false,  1, 1,  1, 1,  0, 0}, // #244
2227  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #245
2228  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #246
2229  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #247
2230  {DBGFIELD("FDIVv2f32")          1, false, false,  1, 1,  1, 1,  0, 0}, // #248
2231  {DBGFIELD("FSQRTv2f32")         1, false, false,  1, 1,  1, 1,  0, 0}, // #249
2232  {DBGFIELD("FSQRTv4f32")         1, false, false,  1, 1,  1, 1,  0, 0}, // #250
2233  {DBGFIELD("FSQRTv2f64")         1, false, false,  1, 1,  1, 1,  0, 0}, // #251
2234  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #252
2235  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #253
2236  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false,  1, 1, 137, 1,  0, 0}, // #254
2237  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #255
2238  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false,  1, 1,  8, 1,  0, 0}, // #256
2239  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #257
2240  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #258
2241  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #259
2242  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #260
2243  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #261
2244  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #262
2245  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #263
2246  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #264
2247  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #265
2248  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 12, 2, 31, 1,  0, 0}, // #266
2249  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
2250  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false,  1, 1,  8, 1,  0, 0}, // #268
2251  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #269
2252  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 19, 2,  8, 1,  0, 0}, // #270
2253  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 19, 2,  8, 1,  0, 0}, // #271
2254  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #272
2255  {DBGFIELD("FRSQRTEv2f64")       1, false, false, 19, 2,  8, 1,  0, 0}, // #273
2256  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 19, 2,  8, 1,  0, 0}, // #274
2257  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #275
2258  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #276
2259  {DBGFIELD("FRSQRTS64")          1, false, false,  1, 1,  1, 1,  0, 0}, // #277
2260  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 19, 2, 26, 1,  0, 0}, // #278
2261  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false,  1, 1,  1, 1,  0, 0}, // #279
2262  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false,  1, 1,  1, 1,  0, 0}, // #280
2263  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false,  1, 1,  1, 1,  0, 0}, // #281
2264  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false,  1, 1,  1, 1,  0, 0}, // #282
2265  {DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false,  1, 1,  1, 1,  0, 0}, // #283
2266  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false,  1, 1,  1, 1,  0, 0}, // #284
2267  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false,  1, 1,  1, 1,  0, 0}, // #285
2268  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false,  1, 1,  1, 1,  0, 0}, // #286
2269  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 11, 2, 139, 1,  0, 0}, // #287
2270  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #288
2271  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #289
2272  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false,  1, 1, 10, 1,  0, 0}, // #290
2273  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 19, 2, 140, 1, 13, 1}, // #291
2274  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 12, 2, 31, 1,  0, 0}, // #292
2275  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false,  1, 1,  1, 1,  0, 0}, // #293
2276  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false,  1, 1,  8, 1,  0, 0}, // #294
2277  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
2278  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #296
2279  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false,  1, 1,  1, 1,  0, 0}, // #297
2280  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false,  1, 1,  1, 1,  0, 0}, // #298
2281  {DBGFIELD("FSQRTDr")            1, false, false, 16, 3,  9, 1,  0, 0}, // #299
2282  {DBGFIELD("FSQRTSr")            1, false, false, 16, 3,  9, 1,  0, 0}, // #300
2283  {DBGFIELD("LDNPDi")             2, false, false, 12, 1, 11, 2,  0, 0}, // #301
2284  {DBGFIELD("LDNPQi")             2, false, false, 12, 1, 11, 2,  0, 0}, // #302
2285  {DBGFIELD("LDNPSi")             2, false, false, 12, 1, 11, 2,  0, 0}, // #303
2286  {DBGFIELD("LDPDi")              2, false, false, 12, 1, 11, 2,  0, 0}, // #304
2287  {DBGFIELD("LDPDpost")           3, false, false, 11, 2, 13, 3,  0, 0}, // #305
2288  {DBGFIELD("LDPDpre")            3, false, false, 11, 2, 13, 3,  0, 0}, // #306
2289  {DBGFIELD("LDPQi")              2, false, false, 12, 1, 11, 2,  0, 0}, // #307
2290  {DBGFIELD("LDPQpost")           3, false, false, 11, 2, 13, 3,  0, 0}, // #308
2291  {DBGFIELD("LDPQpre")            3, false, false, 11, 2, 13, 3,  0, 0}, // #309
2292  {DBGFIELD("LDPSWi")             2, false, false, 12, 1, 11, 2,  0, 0}, // #310
2293  {DBGFIELD("LDPSWpost")          3, false, false, 11, 2, 13, 3,  0, 0}, // #311
2294  {DBGFIELD("LDPSWpre")           3, false, false, 11, 2, 13, 3,  0, 0}, // #312
2295  {DBGFIELD("LDPSi")              2, false, false, 12, 1, 11, 2,  0, 0}, // #313
2296  {DBGFIELD("LDPSpost")           3, false, false, 11, 2, 13, 3,  0, 0}, // #314
2297  {DBGFIELD("LDPSpre")            3, false, false, 11, 2, 13, 3,  0, 0}, // #315
2298  {DBGFIELD("LDRBpost")           2, false, false, 11, 2, 14, 2,  0, 0}, // #316
2299  {DBGFIELD("LDRBpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #317
2300  {DBGFIELD("LDRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #318
2301  {DBGFIELD("LDRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #319
2302  {DBGFIELD("LDRBui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #320
2303  {DBGFIELD("LDRDl")              1, false, false, 12, 1,  8, 1,  0, 0}, // #321
2304  {DBGFIELD("LDRDpost")           2, false, false, 11, 2, 14, 2,  0, 0}, // #322
2305  {DBGFIELD("LDRDpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #323
2306  {DBGFIELD("LDRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #324
2307  {DBGFIELD("LDRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #325
2308  {DBGFIELD("LDRDui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #326
2309  {DBGFIELD("LDRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #327
2310  {DBGFIELD("LDRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #328
2311  {DBGFIELD("LDRHpost")           2, false, false, 11, 2, 14, 2,  0, 0}, // #329
2312  {DBGFIELD("LDRHpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #330
2313  {DBGFIELD("LDRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #331
2314  {DBGFIELD("LDRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #332
2315  {DBGFIELD("LDRHui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #333
2316  {DBGFIELD("LDRQl")              1, false, false, 12, 1,  8, 1,  0, 0}, // #334
2317  {DBGFIELD("LDRQpost")           2, false, false, 11, 2, 14, 2,  0, 0}, // #335
2318  {DBGFIELD("LDRQpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #336
2319  {DBGFIELD("LDRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #337
2320  {DBGFIELD("LDRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #338
2321  {DBGFIELD("LDRQui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #339
2322  {DBGFIELD("LDRSHWroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #340
2323  {DBGFIELD("LDRSHWroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #341
2324  {DBGFIELD("LDRSHXroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #342
2325  {DBGFIELD("LDRSHXroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #343
2326  {DBGFIELD("LDRSl")              1, false, false, 12, 1,  8, 1,  0, 0}, // #344
2327  {DBGFIELD("LDRSpost")           2, false, false, 11, 2, 14, 2,  0, 0}, // #345
2328  {DBGFIELD("LDRSpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #346
2329  {DBGFIELD("LDRSroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #347
2330  {DBGFIELD("LDRSroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #348
2331  {DBGFIELD("LDRSui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #349
2332  {DBGFIELD("LDURBi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #350
2333  {DBGFIELD("LDURDi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #351
2334  {DBGFIELD("LDURHi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #352
2335  {DBGFIELD("LDURQi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #353
2336  {DBGFIELD("LDURSi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #354
2337  {DBGFIELD("STNPDi")             2, false, false, 12, 2, 21, 1,  0, 0}, // #355
2338  {DBGFIELD("STNPQi")             2, false, false, 12, 2, 21, 1,  0, 0}, // #356
2339  {DBGFIELD("STNPXi")             2, false, false, 12, 2, 21, 1,  0, 0}, // #357
2340  {DBGFIELD("STPDi")              2, false, false, 12, 2, 21, 1,  0, 0}, // #358
2341  {DBGFIELD("STPDpost")           3, false, false, 11, 3, 22, 2,  0, 0}, // #359
2342  {DBGFIELD("STPDpre")            3, false, false, 11, 3, 22, 2,  0, 0}, // #360
2343  {DBGFIELD("STPQi")              2, false, false, 33, 1, 11, 2,  0, 0}, // #361
2344  {DBGFIELD("STPQpost")           3, false, false, 11, 3, 22, 2,  0, 0}, // #362
2345  {DBGFIELD("STPQpre")            3, false, false, 11, 3, 22, 2,  0, 0}, // #363
2346  {DBGFIELD("STPSpost")           3, false, false, 11, 3, 22, 2,  0, 0}, // #364
2347  {DBGFIELD("STPSpre")            3, false, false, 11, 3, 22, 2,  0, 0}, // #365
2348  {DBGFIELD("STPWpost")           3, false, false, 11, 3, 22, 2,  0, 0}, // #366
2349  {DBGFIELD("STPWpre")            3, false, false, 11, 3, 22, 2,  0, 0}, // #367
2350  {DBGFIELD("STPXi")              2, false, false, 12, 2, 21, 1,  0, 0}, // #368
2351  {DBGFIELD("STPXpost")           3, false, false, 11, 3, 22, 2,  0, 0}, // #369
2352  {DBGFIELD("STPXpre")            3, false, false, 11, 3, 22, 2,  0, 0}, // #370
2353  {DBGFIELD("STRBBpost")          2, false, false, 11, 2, 24, 2,  0, 0}, // #371
2354  {DBGFIELD("STRBBpre")           2, false, false, 11, 2, 24, 2,  0, 0}, // #372
2355  {DBGFIELD("STRBpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #373
2356  {DBGFIELD("STRBpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #374
2357  {DBGFIELD("STRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #375
2358  {DBGFIELD("STRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #376
2359  {DBGFIELD("STRDpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #377
2360  {DBGFIELD("STRDpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #378
2361  {DBGFIELD("STRHHpost")          2, false, false, 11, 2, 24, 2,  0, 0}, // #379
2362  {DBGFIELD("STRHHpre")           2, false, false, 11, 2, 24, 2,  0, 0}, // #380
2363  {DBGFIELD("STRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #381
2364  {DBGFIELD("STRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #382
2365  {DBGFIELD("STRHpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #383
2366  {DBGFIELD("STRHpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #384
2367  {DBGFIELD("STRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #385
2368  {DBGFIELD("STRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #386
2369  {DBGFIELD("STRQpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #387
2370  {DBGFIELD("STRQpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #388
2371  {DBGFIELD("STRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #389
2372  {DBGFIELD("STRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #390
2373  {DBGFIELD("STRQui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #391
2374  {DBGFIELD("STRSpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #392
2375  {DBGFIELD("STRSpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #393
2376  {DBGFIELD("STRWpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #394
2377  {DBGFIELD("STRWpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #395
2378  {DBGFIELD("STRXpost")           2, false, false, 11, 2, 24, 2,  0, 0}, // #396
2379  {DBGFIELD("STRXpre")            2, false, false, 11, 2, 24, 2,  0, 0}, // #397
2380  {DBGFIELD("STURQi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #398
2381  {DBGFIELD("MOVZWi_MOVZXi")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #399
2382  {DBGFIELD("ANDWri_ANDXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #400
2383  {DBGFIELD("ORRXrr_ADDXrr")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #401
2384  {DBGFIELD("ISB")                1, false, false,  2, 1,  2, 1,  0, 0}, // #402
2385  {DBGFIELD("ORRv16i8")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #403
2386  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 12, 1,  8, 1,  0, 0}, // #404
2387  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #405
2388  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #406
2389  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #407
2390  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false,  1, 1, 141, 1,  0, 0}, // #408
2391  {DBGFIELD("ADDVv16i8v")         1, false, false,  1, 1, 137, 1,  0, 0}, // #409
2392  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #410
2393  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #411
2394  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #412
2395  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #413
2396  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false,  1, 1,  8, 1,  0, 0}, // #414
2397  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #415
2398  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #416
2399  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false,  1, 1, 142, 1,  0, 0}, // #417
2400  {DBGFIELD("FADDPv2i32p")        1, false, false,  1, 1,  8, 1,  0, 0}, // #418
2401  {DBGFIELD("FADDPv2i64p")        1, false, false,  1, 1, 10, 1,  0, 0}, // #419
2402  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false,  1, 1, 137, 1,  0, 0}, // #420
2403  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false,  1, 1, 137, 1,  0, 0}, // #421
2404  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false,  1, 1, 137, 1,  0, 0}, // #422
2405  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false,  1, 1,  8, 1,  0, 0}, // #423
2406  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #424
2407  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #425
2408  {DBGFIELD("FADDPv4f32")         1, false, false,  1, 1,  8, 1,  0, 0}, // #426
2409  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #427
2410  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #428
2411  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 1, false, false,  1, 1, 137, 1,  0, 0}, // #429
2412  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #430
2413  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #431
2414  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #432
2415  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 1, false, false,  1, 1, 137, 1,  0, 0}, // #433
2416  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #434
2417  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false,  1, 1, 137, 1,  0, 0}, // #435
2418  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false,  1, 1, 143, 1,  0, 0}, // #436
2419  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false,  1, 1, 144, 1,  0, 0}, // #437
2420  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 19, 2, 145, 1, 14, 3}, // #438
2421  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #439
2422  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #440
2423  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #441
2424  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #442
2425  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #443
2426  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #444
2427  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 19, 2, 138, 1,  0, 0}, // #445
2428  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 19, 2, 138, 1,  0, 0}, // #446
2429  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 19, 2, 138, 1,  0, 0}, // #447
2430  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 19, 2, 146, 1,  0, 0}, // #448
2431  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 19, 2, 146, 1,  0, 0}, // #449
2432  {DBGFIELD("FMULX64")            1, false, false, 19, 2, 146, 1,  0, 0}, // #450
2433  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 1, false, false, 19, 2, 138, 1, 11, 2}, // #451
2434  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 19, 2, 147, 1, 17, 1}, // #452
2435  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 19, 2, 147, 1, 17, 1}, // #453
2436  {DBGFIELD("FMLAv4f32")          1, false, false, 19, 2, 147, 1, 17, 1}, // #454
2437  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 19, 2, 140, 1, 13, 1}, // #455
2438  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false,  1, 1,  8, 1,  0, 0}, // #456
2439  {DBGFIELD("URSQRTEv2i32")       1, false, false,  1, 1,  8, 1,  0, 0}, // #457
2440  {DBGFIELD("URSQRTEv4i32")       1, false, false,  1, 1,  8, 1,  0, 0}, // #458
2441  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 19, 2,  8, 1,  0, 0}, // #459
2442  {DBGFIELD("FRECPSv2f32")        1, false, false, 19, 2, 26, 1,  0, 0}, // #460
2443  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 19, 2, 26, 1,  0, 0}, // #461
2444  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 19, 2, 117, 1,  0, 0}, // #462
2445  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 19, 2, 117, 1,  0, 0}, // #463
2446  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false,  1, 1,  1, 1,  0, 0}, // #464
2447  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 2, false, false, 12, 2, 117, 1,  0, 0}, // #465
2448  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false, 76, 2,  1, 1,  0, 0}, // #466
2449  {DBGFIELD("SHA256SU1rrr")       1, false, false, 76, 2, 137, 1,  0, 0}, // #467
2450  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #468
2451  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #469
2452  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #470
2453  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #471
2454  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false,  1, 1,  8, 1,  0, 0}, // #472
2455  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #473
2456  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #474
2457  {DBGFIELD("FCVTXNv1i64")        1, false, false,  1, 1,  1, 1,  0, 0}, // #475
2458  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #476
2459  {DBGFIELD("FMULX32")            1, false, false,  1, 1,  1, 1,  0, 0}, // #477
2460  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #478
2461  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #479
2462  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #480
2463  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #481
2464  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #482
2465  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #483
2466  {DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 19, 2, 146, 1,  0, 0}, // #484
2467  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #485
2468  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 19, 2, 147, 1, 17, 1}, // #486
2469  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #487
2470  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #488
2471  {DBGFIELD("ADDPv2i64p")         1, false, false,  1, 1,  1, 1,  0, 0}, // #489
2472  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #490
2473  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #491
2474  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #492
2475  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #493
2476  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false,  1, 1, 141, 1,  0, 0}, // #494
2477  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #495
2478  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false,  1, 1,  1, 1,  0, 0}, // #496
2479  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false,  1, 1, 143, 1,  0, 0}, // #497
2480  {DBGFIELD("SSHRd_USHRd")        1, false, false,  1, 1,  1, 1,  0, 0}, // #498
2481  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #499
2482  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #500
2483  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #501
2484  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #502
2485  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #503
2486  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #504
2487  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #505
2488  {DBGFIELD("SHLd")               1, false, false,  1, 1,  1, 1,  0, 0}, // #506
2489  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #507
2490  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 19, 2, 145, 1, 14, 3}, // #508
2491  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false,  1, 1, 142, 1,  0, 0}, // #509
2492  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 19, 2, 136, 1,  8, 3}, // #510
2493  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #511
2494  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #512
2495  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #513
2496  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #514
2497  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false,  1, 1,  1, 1,  0, 0}, // #515
2498  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #516
2499  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #517
2500  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false,  1, 1, 144, 1,  0, 0}, // #518
2501  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #519
2502  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #520
2503  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #521
2504  {DBGFIELD("ADDVv4i16v")         1, false, false,  1, 1, 137, 1,  0, 0}, // #522
2505  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #523
2506  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #524
2507  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #525
2508  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 19, 2, 138, 1,  0, 0}, // #526
2509  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #527
2510  {DBGFIELD("ADDVv4i32v")         1, false, false,  1, 1, 137, 1,  0, 0}, // #528
2511  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false,  1, 1,  8, 1,  0, 0}, // #529
2512  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #530
2513  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #531
2514  {DBGFIELD("ADDPv2i64")          1, false, false,  1, 1,  1, 1,  0, 0}, // #532
2515  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #533
2516  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #534
2517  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #535
2518  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #536
2519  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #537
2520  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #538
2521  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #539
2522  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #540
2523  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #541
2524  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #542
2525  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #543
2526  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #544
2527  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false,  1, 1, 142, 1,  0, 0}, // #545
2528  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #546
2529  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #547
2530  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #548
2531  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #549
2532  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 19, 2, 138, 1,  0, 0}, // #550
2533  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #551
2534  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #552
2535  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #553
2536  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 19, 2, 138, 1, 11, 2}, // #554
2537  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 19, 2, 138, 1, 11, 2}, // #555
2538  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false,  2, 1,  2, 1,  0, 1}, // #556
2539  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #557
2540  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #558
2541  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false,  2, 1,  2, 1,  0, 1}, // #559
2542  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #560
2543  {DBGFIELD("ADDXrr")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #561
2544  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #562
2545  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false,  2, 1,  2, 1,  0, 1}, // #563
2546  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #564
2547  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 1, false, false,  3, 2,  3, 1,  1, 2}, // #565
2548  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #566
2549  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 1, false, false,  3, 2,  3, 1,  1, 2}, // #567
2550  {DBGFIELD("EONWrr_EONXrr")      1, false, false,  2, 1,  2, 1,  1, 2}, // #568
2551  {DBGFIELD("EONWrs_EONXrs")      1, false, false,  3, 2,  3, 1,  1, 2}, // #569
2552  {DBGFIELD("EORWri_EORXri")      1, false, false,  2, 1,  2, 1,  0, 1}, // #570
2553  {DBGFIELD("EORWrr_EORXrr")      1, false, false,  2, 1,  2, 1,  1, 2}, // #571
2554  {DBGFIELD("EORWrs_EORXrs")      1, false, false,  3, 2,  3, 1,  1, 2}, // #572
2555  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false,  2, 1,  2, 1,  1, 2}, // #573
2556  {DBGFIELD("ORNWrs_ORNXrs")      1, false, false,  3, 2,  3, 1,  1, 2}, // #574
2557  {DBGFIELD("ORRWri_ORRXri")      1, false, false,  2, 1,  2, 1,  0, 1}, // #575
2558  {DBGFIELD("ORRWrr")             1, false, false,  2, 1,  2, 1,  1, 2}, // #576
2559  {DBGFIELD("ORRWrs_ORRXrs")      1, false, false,  3, 2,  3, 1,  1, 2}, // #577
2560  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #578
2561  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false,  2, 1,  2, 1,  0, 1}, // #579
2562  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #580
2563  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 1, false, false,  3, 2,  3, 1,  1, 2}, // #581
2564  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 1, false, false,  3, 2,  4, 1,  1, 2}, // #582
2565  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 1, false, false,  3, 2,  4, 1,  1, 2}, // #583
2566  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 12, 2, 31, 1,  0, 0}, // #584
2567  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #585
2568  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 12, 2, 31, 1,  0, 0}, // #586
2569  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #587
2570  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #588
2571  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #589
2572  {DBGFIELD("EXTv8i8")            1, false, false,  1, 1,  1, 1,  0, 0}, // #590
2573  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #591
2574  {DBGFIELD("TBLv8i8One")         1, false, false,  1, 1,  1, 1,  0, 0}, // #592
2575  {DBGFIELD("NOTv8i8")            1, false, false,  1, 1,  1, 1,  0, 0}, // #593
2576  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #594
2577  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #595
2578  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #596
2579  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #597
2580  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false,  1, 1,  8, 1,  0, 0}, // #598
2581  {DBGFIELD("FRECPS32")           1, false, false,  1, 1,  1, 1,  0, 0}, // #599
2582  {DBGFIELD("EXTv16i8")           1, false, false,  1, 1,  1, 1,  0, 0}, // #600
2583  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #601
2584  {DBGFIELD("NOTv16i8")           1, false, false,  1, 1,  1, 1,  0, 0}, // #602
2585  {DBGFIELD("TBLv16i8One")        1, false, false,  1, 1,  1, 1,  0, 0}, // #603
2586  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #604
2587  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #605
2588  {DBGFIELD("TBLv8i8Two")         1, false, false,  1, 1,  1, 1,  0, 0}, // #606
2589  {DBGFIELD("FRECPSv4f32")        1, false, false, 19, 2, 26, 1,  0, 0}, // #607
2590  {DBGFIELD("TBLv16i8Two")        1, false, false,  1, 1,  1, 1,  0, 0}, // #608
2591  {DBGFIELD("TBLv8i8Three")       1, false, false,  1, 1,  1, 1,  0, 0}, // #609
2592  {DBGFIELD("TBLv16i8Three")      1, false, false,  1, 1,  1, 1,  0, 0}, // #610
2593  {DBGFIELD("TBLv8i8Four")        1, false, false,  1, 1,  1, 1,  0, 0}, // #611
2594  {DBGFIELD("TBLv16i8Four")       1, false, false,  1, 1,  1, 1,  0, 0}, // #612
2595  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 12, 1,  8, 1,  0, 0}, // #613
2596  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #614
2597  {DBGFIELD("STPSi")              2, false, false, 12, 2, 21, 1,  0, 0}, // #615
2598  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #616
2599  {DBGFIELD("STNPSi")             2, false, false, 12, 2, 21, 1,  0, 0}, // #617
2600  {DBGFIELD("B")                  1, false, false,  7, 2,  0, 1,  0, 0}, // #618
2601  {DBGFIELD("TCRETURNdi")         1, false, false,  9, 3,  0, 1,  0, 0}, // #619
2602  {DBGFIELD("BR_RET")             1, false, false,  9, 3,  0, 1,  0, 0}, // #620
2603  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false,  7, 2,  0, 1,  0, 0}, // #621
2604  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false,  9, 3,  0, 1,  0, 0}, // #622
2605  {DBGFIELD("Bcc")                1, false, false,  7, 2,  0, 1,  0, 0}, // #623
2606  {DBGFIELD("SHA1Hrr")            1, false, false, 76, 2,  1, 1,  0, 0}, // #624
2607  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 13, 3,  8, 1,  0, 0}, // #625
2608  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 13, 3,  8, 1,  0, 0}, // #626
2609  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 12, 2, 31, 1,  0, 0}, // #627
2610  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false,  1, 1,  1, 1,  0, 0}, // #628
2611  {DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false,  1, 1,  1, 1,  0, 0}, // #629
2612  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false,  1, 1,  1, 1,  0, 0}, // #630
2613  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false,  1, 1,  1, 1,  0, 0}, // #631
2614  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false,  1, 1,  8, 1,  0, 0}, // #632
2615  {DBGFIELD("FCVTSDr")            1, false, false,  1, 1,  8, 1,  0, 0}, // #633
2616  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 19, 2,  8, 1,  0, 0}, // #634
2617  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 12, 1, 10, 1,  0, 0}, // #635
2618  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false,  1, 1,  1, 1,  0, 0}, // #636
2619  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false,  1, 1,  1, 1,  0, 0}, // #637
2620  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false,  1, 1,  1, 1,  0, 0}, // #638
2621  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false,  1, 1,  1, 1,  0, 0}, // #639
2622  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #640
2623  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #641
2624  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 12, 1,  8, 1,  0, 0}, // #642
2625  {DBGFIELD("PRFUMi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #643
2626  {DBGFIELD("LDNPWi_LDNPXi")      2, false, false, 12, 1, 11, 2,  0, 0}, // #644
2627  {DBGFIELD("LDPWi_LDPXi")        2, false, false, 12, 1, 11, 2,  0, 0}, // #645
2628  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 11, 2, 13, 3,  0, 0}, // #646
2629  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 12, 1,  8, 1,  0, 0}, // #647
2630  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 11, 2, 14, 2,  0, 0}, // #648
2631  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #649
2632  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 12, 1,  8, 1,  0, 0}, // #650
2633  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #651
2634  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #652
2635  {DBGFIELD("PRFMroW_PRFMroX")    1, false, false, 12, 1,  8, 1,  0, 0}, // #653
2636  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 12, 1,  8, 1,  0, 0}, // #654
2637  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 11, 2, 14, 2,  0, 0}, // #655
2638  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #656
2639  {DBGFIELD("LDRSWl")             1, false, false, 12, 1,  8, 1,  0, 0}, // #657
2640  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #658
2641  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #659
2642  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false,  5, 2,  6, 1,  0, 1}, // #660
2643  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false,  2, 1,  2, 1,  0, 1}, // #661
2644  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 21, 4, 16, 1,  4, 3}, // #662
2645  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false, 21, 4, 16, 1,  4, 3}, // #663
2646  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false, 21, 4, 17, 1,  4, 3}, // #664
2647  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 25, 4, 19, 1,  1, 2}, // #665
2648  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 29, 4, 20, 1,  1, 2}, // #666
2649  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false,  5, 2,  6, 1,  0, 1}, // #667
2650  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false,  2, 1,  2, 1,  0, 1}, // #668
2651  {DBGFIELD("ADR_ADRP")           1, false, false,  2, 1,  2, 1,  0, 0}, // #669
2652  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  2, 1, 18, 1,  0, 0}, // #670
2653  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false,  2, 1, 18, 1,  0, 0}, // #671
2654  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false,  3, 1,  1, 1,  0, 0}, // #672
2655  {DBGFIELD("LOADgot")            2, false, false, 11, 2, 10, 1,  0, 0}, // #673
2656  {DBGFIELD("CLREX_DMB_DSB")      1, false, false, 12, 1,  5, 1,  0, 0}, // #674
2657  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  7, 1,  0, 0}, // #675
2658  {DBGFIELD("HINT")               1, false, false,  0, 0,  0, 1,  0, 0}, // #676
2659  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  0, 0,  7, 1,  0, 0}, // #677
2660  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  0, 0,  7, 1,  0, 0}, // #678
2661  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 12, 1,  8, 1,  0, 0}, // #679
2662  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 12, 1, 11, 2,  0, 0}, // #680
2663  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  7, 1,  0, 0}, // #681
2664  {DBGFIELD("DRPS")               1, false, false,  9, 3,  0, 1,  0, 0}, // #682
2665  {DBGFIELD("MSR")                1, false, false,  0, 0,  7, 1,  0, 0}, // #683
2666  {DBGFIELD("STNPWi")             2, false, false, 12, 2, 21, 1,  0, 0}, // #684
2667  {DBGFIELD("ERET")               1, false, false,  9, 3,  0, 1,  0, 0}, // #685
2668  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
2669  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 12, 1,  8, 1,  0, 0}, // #687
2670  {DBGFIELD("STXPW_STXPX")        2, false, false, 33, 1, 26, 1,  0, 0}, // #688
2671  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 33, 1, 26, 1,  0, 0}, // #689
2672  {DBGFIELD("STLXPW_STLXPX")      2, false, false, 33, 1, 26, 1,  0, 0}, // #690
2673  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 33, 1, 26, 1,  0, 0}, // #691
2674  {DBGFIELD("STPWi")              2, false, false, 12, 2, 21, 1,  0, 0}, // #692
2675  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 12, 1,  8, 1,  0, 0}, // #693
2676  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #694
2677  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #695
2678  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 12, 1,  8, 1,  0, 0}, // #696
2679  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #697
2680  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 2, false, false, 12, 2, 117, 1,  0, 0}, // #698
2681  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #699
2682  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 19, 2, 138, 1, 11, 2}, // #700
2683  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #701
2684  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #702
2685  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #703
2686  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
2687  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false,  1, 1,  8, 1,  0, 0}, // #705
2688  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false,  1, 1, 137, 1,  0, 0}, // #706
2689  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
2690  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
2691  {DBGFIELD("ADDv1i64")           1, false, false,  1, 1,  1, 1,  0, 0}, // #709
2692  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #710
2693  {DBGFIELD("ANDSWri")            1, false, false,  2, 1,  2, 1,  0, 1}, // #711
2694  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false,  2, 1,  2, 1,  1, 2}, // #712
2695  {DBGFIELD("ANDSWrs_ANDWrs")     1, false, false,  3, 2,  3, 1,  1, 2}, // #713
2696  {DBGFIELD("ANDWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #714
2697  {DBGFIELD("BICSWrr_BICWrr")     1, false, false,  2, 1,  2, 1,  1, 2}, // #715
2698  {DBGFIELD("BICSWrs_BICWrs")     1, false, false,  3, 2,  3, 1,  1, 2}, // #716
2699  {DBGFIELD("EONWrr")             1, false, false,  2, 1,  2, 1,  1, 2}, // #717
2700  {DBGFIELD("EONWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #718
2701  {DBGFIELD("EORWri")             1, false, false,  2, 1,  2, 1,  0, 1}, // #719
2702  {DBGFIELD("EORWrr")             1, false, false,  2, 1,  2, 1,  1, 2}, // #720
2703  {DBGFIELD("EORWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #721
2704  {DBGFIELD("ORNWrr")             1, false, false,  2, 1,  2, 1,  1, 2}, // #722
2705  {DBGFIELD("ORNWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #723
2706  {DBGFIELD("ORRWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #724
2707  {DBGFIELD("ORRWri")             1, false, false,  2, 1,  2, 1,  0, 1}, // #725
2708  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false,  2, 1,  2, 1,  0, 1}, // #726
2709  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #727
2710  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #728
2711  {DBGFIELD("CSELWr_CSELXr")      1, false, false,  2, 1,  2, 1,  1, 2}, // #729
2712  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false,  2, 1,  2, 1,  1, 2}, // #730
2713  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false,  1, 1, 137, 1,  0, 0}, // #731
2714  {DBGFIELD("FCMGEv2f32")         1, false, false,  1, 1,  1, 1,  0, 0}, // #732
2715  {DBGFIELD("FABDv2f32")          1, false, false,  1, 1,  8, 1,  0, 0}, // #733
2716  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #734
2717  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false,  1, 1,  1, 1,  0, 0}, // #735
2718  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 2, false, false, 12, 2, 31, 1,  0, 0}, // #736
2719  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #737
2720  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #738
2721  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 19, 2, 147, 1, 17, 1}, // #739
2722  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #740
2723  {DBGFIELD("FMLSv4f32")          1, false, false,  1, 1,  1, 1,  0, 0}, // #741
2724  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 19, 2, 140, 1, 13, 1}, // #742
2725  {DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 12, 1,  8, 1,  0, 0}, // #743
2726  {DBGFIELD("FMOVXDHighr")        1, false, false, 12, 1, 10, 1,  0, 0}, // #744
2727  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #745
2728  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false,  1, 1,  8, 1,  0, 0}, // #746
2729  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 19, 2,  8, 1,  0, 0}, // #747
2730  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 12, 1,  8, 1,  0, 0}, // #748
2731  {DBGFIELD("LDAXPW_LDAXPX")      2, false, false, 12, 1, 11, 2,  0, 0}, // #749
2732  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false,  5, 2,  6, 1,  0, 1}, // #750
2733  {DBGFIELD("MRS")                1, false, false,  0, 0,  7, 1,  0, 0}, // #751
2734  {DBGFIELD("MSRpstateImm4")      1, false, false,  0, 0,  7, 1,  0, 0}, // #752
2735  {DBGFIELD("RBITWr_RBITXr")      1, false, false,  2, 1,  2, 1,  0, 1}, // #753
2736  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #754
2737  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #755
2738  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false,  1, 1,  1, 1,  0, 0}, // #756
2739  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #757
2740  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #758
2741  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #759
2742  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #760
2743  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #761
2744  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false,  7, 2,  0, 1,  0, 0}, // #762
2745  {DBGFIELD("ADDWrs_ADDXrs")      1, false, false,  3, 2,  3, 1,  1, 2}, // #763
2746  {DBGFIELD("ANDWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #764
2747  {DBGFIELD("ANDXrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #765
2748  {DBGFIELD("BICWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #766
2749  {DBGFIELD("BICXrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #767
2750  {DBGFIELD("SUBWrs_SUBXrs")      1, false, false,  3, 2,  3, 1,  1, 2}, // #768
2751  {DBGFIELD("ADDWri_ADDXri")      1, false, false,  2, 1,  2, 1,  0, 1}, // #769
2752  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #770
2753  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #771
2754  {DBGFIELD("PRFMroW")            1, false, false, 12, 1,  8, 1,  0, 0}, // #772
2755  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #773
2756  {DBGFIELD("FABSDr_FABSSr")      1, false, false,  1, 1,  1, 1,  0, 0}, // #774
2757  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false,  1, 1,  8, 1,  0, 0}, // #775
2758  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false,  1, 1,  1, 1,  0, 0}, // #776
2759  {DBGFIELD("FRECPEv1f16")        1, false, false,  1, 1,  8, 1,  0, 0}, // #777
2760  {DBGFIELD("FRSQRTEv1f16")       1, false, false, 19, 2,  8, 1,  0, 0}, // #778
2761  {DBGFIELD("FRECPXv1f16")        1, false, false,  1, 1,  8, 1,  0, 0}, // #779
2762  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #780
2763  {DBGFIELD("FMOVDXr")            1, false, false, 12, 1,  8, 1,  0, 0}, // #781
2764  {DBGFIELD("STRDroW_STRSroW")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #782
2765  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #783
2766  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #784
2767  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #785
2768  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false,  1, 1, 137, 1,  0, 0}, // #786
2769  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 1, false, false, 19, 2, 138, 1, 11, 2}, // #787
2770  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #788
2771  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #789
2772  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false,  1, 1,  1, 1,  0, 0}, // #790
2773  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #791
2774  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false,  1, 1,  8, 1,  0, 0}, // #792
2775  {DBGFIELD("FABSv2f32")          1, false, false,  1, 1,  1, 1,  0, 0}, // #793
2776  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false,  1, 1,  1, 1,  0, 0}, // #794
2777  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #795
2778  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #796
2779  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
2780  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #798
2781  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #799
2782  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #800
2783  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false,  1, 1,  1, 1,  0, 0}, // #801
2784  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #802
2785  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #803
2786  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false,  1, 1,  1, 1,  0, 0}, // #804
2787  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #805
2788  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #806
2789  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #807
2790  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #808
2791  {DBGFIELD("FMLAv2f32")          1, false, false, 19, 2, 147, 1, 17, 1}, // #809
2792  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #810
2793  {DBGFIELD("FMLSv2f32")          1, false, false,  1, 1,  1, 1,  0, 0}, // #811
2794  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false,  1, 1,  1, 1,  0, 0}, // #812
2795  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #813
2796  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #814
2797  {DBGFIELD("INSvi16lane_INSvi8lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #815
2798  {DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 12, 2, 31, 1,  0, 0}, // #816
2799  {DBGFIELD("FABSHr")             1, false, false,  1, 1,  1, 1,  0, 0}, // #817
2800  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false,  1, 1,  1, 1,  0, 0}, // #818
2801  {DBGFIELD("FADDPv2i16p")        1, false, false,  1, 1,  1, 1,  0, 0}, // #819
2802  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 13, 3,  8, 1,  0, 0}, // #820
2803  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 13, 3,  8, 1,  0, 0}, // #821
2804  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false,  1, 1,  1, 1,  0, 0}, // #822
2805  {DBGFIELD("FDIVHrr")            1, false, false, 16, 3,  9, 1,  0, 0}, // #823
2806  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 19, 2,  8, 1,  0, 0}, // #824
2807  {DBGFIELD("FMULX16")            1, false, false,  1, 1,  1, 1,  0, 0}, // #825
2808  {DBGFIELD("FNEGHr")             1, false, false,  1, 1,  1, 1,  0, 0}, // #826
2809  {DBGFIELD("FCSELHrrr")          1, false, false,  1, 1,  1, 1,  0, 0}, // #827
2810  {DBGFIELD("FSQRTHr")            1, false, false, 16, 3,  9, 1,  0, 0}, // #828
2811  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false,  1, 1,  8, 1,  0, 0}, // #829
2812  {DBGFIELD("FMOVHi")             1, false, false,  1, 1,  1, 1,  0, 0}, // #830
2813  {DBGFIELD("FMOVHr")             1, false, false,  1, 1,  1, 1,  0, 0}, // #831
2814  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false, 12, 1, 10, 1,  0, 0}, // #832
2815  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false, 12, 1, 10, 1,  0, 0}, // #833
2816  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
2817  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 19, 2, 138, 1, 11, 2}, // #835
2818  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 19, 2, 138, 1, 11, 2}, // #836
2819  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 19, 2, 138, 1,  0, 0}, // #837
2820  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 19, 2, 138, 1,  0, 0}, // #838
2821  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #839
2822  {DBGFIELD("FDIVv4f16")          1, false, false,  1, 1,  1, 1,  0, 0}, // #840
2823  {DBGFIELD("FDIVv8f16")          1, false, false,  1, 1,  1, 1,  0, 0}, // #841
2824  {DBGFIELD("FSQRTv4f16")         1, false, false,  1, 1,  1, 1,  0, 0}, // #842
2825  {DBGFIELD("FSQRTv8f16")         1, false, false,  1, 1,  1, 1,  0, 0}, // #843
2826  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #844
2827  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #845
2828  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false,  1, 1,  1, 1,  0, 0}, // #846
2829  {DBGFIELD("PMULLv1i64")         1, false, false, 76, 2, 137, 1,  0, 0}, // #847
2830  {DBGFIELD("PMULLv8i8")          1, false, false, 76, 2, 137, 1,  0, 0}, // #848
2831  {DBGFIELD("SHA256H2rrr")        1, false, false, 76, 2, 21, 1,  0, 0}, // #849
2832  {DBGFIELD("TBNZW_TBZW")         1, false, false,  7, 2,  0, 1,  0, 0}, // #850
2833  {DBGFIELD("ADCSWr_ADCWr")       1, false, false,  2, 1,  2, 1,  1, 2}, // #851
2834  {DBGFIELD("SBCSWr_SBCWr")       1, false, false,  2, 1,  2, 1,  1, 2}, // #852
2835  {DBGFIELD("ADDWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #853
2836  {DBGFIELD("SUBWrs")             1, false, false,  3, 2,  3, 1,  1, 2}, // #854
2837  {DBGFIELD("ADDSWrs")            1, false, false,  3, 2,  3, 1,  1, 2}, // #855
2838  {DBGFIELD("SUBSWrs")            1, false, false,  3, 2,  3, 1,  1, 2}, // #856
2839  {DBGFIELD("ADDSWrx_ADDWrx")     1, false, false,  3, 2,  4, 1,  1, 2}, // #857
2840  {DBGFIELD("SUBSWrx_SUBWrx")     1, false, false,  3, 2,  4, 1,  1, 2}, // #858
2841  {DBGFIELD("ADDWri")             1, false, false,  2, 1,  2, 1,  0, 1}, // #859
2842  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false,  2, 1,  2, 1,  0, 1}, // #860
2843  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false,  2, 1,  2, 1,  1, 2}, // #861
2844  {DBGFIELD("CSELWr")             1, false, false,  2, 1,  2, 1,  1, 2}, // #862
2845  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false,  2, 1,  2, 1,  1, 2}, // #863
2846  {DBGFIELD("CSINVWr")            1, false, false,  2, 1,  2, 1,  1, 2}, // #864
2847  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false,  5, 2,  6, 1,  0, 1}, // #865
2848  {DBGFIELD("LSLVWr")             1, false, false,  5, 2,  6, 1,  0, 1}, // #866
2849  {DBGFIELD("BFMWri")             1, false, false,  5, 2,  6, 1,  0, 1}, // #867
2850  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false,  5, 2,  6, 1,  0, 1}, // #868
2851  {DBGFIELD("CLSWr_CLZWr")        1, false, false,  2, 1,  2, 1,  0, 1}, // #869
2852  {DBGFIELD("RBITWr")             1, false, false,  2, 1,  2, 1,  0, 1}, // #870
2853  {DBGFIELD("REVWr_REV16Wr")      1, false, false,  2, 1,  2, 1,  0, 1}, // #871
2854  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
2855  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
2856  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
2857  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
2858  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
2859  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
2860  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
2861  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
2862  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
2863  {DBGFIELD("BRK")                1, false, false,  0, 0,  7, 1,  0, 0}, // #881
2864  {DBGFIELD("CBNZW_CBNZX")        1, false, false,  7, 2,  0, 1,  0, 0}, // #882
2865  {DBGFIELD("TBNZW")              1, false, false,  7, 2,  0, 1,  0, 0}, // #883
2866  {DBGFIELD("TBNZX")              1, false, false,  7, 2,  0, 1,  0, 0}, // #884
2867  {DBGFIELD("BR")                 1, false, false,  9, 3,  0, 1,  0, 0}, // #885
2868  {DBGFIELD("ADCWr")              1, false, false,  2, 1,  2, 1,  1, 2}, // #886
2869  {DBGFIELD("ADCXr")              1, false, false,  2, 1,  2, 1,  1, 2}, // #887
2870  {DBGFIELD("ASRVWr_RORVWr")      1, false, false,  5, 2,  6, 1,  0, 1}, // #888
2871  {DBGFIELD("ASRVXr_RORVXr")      1, false, false,  5, 2,  6, 1,  0, 1}, // #889
2872  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
2873  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false,  3, 2,  3, 1,  1, 2}, // #891
2874  {DBGFIELD("LDNPWi")             2, false, false, 12, 1, 11, 2,  0, 0}, // #892
2875  {DBGFIELD("LDPWi")              2, false, false, 12, 1, 11, 2,  0, 0}, // #893
2876  {DBGFIELD("LDRWl")              1, false, false, 12, 1,  8, 1,  0, 0}, // #894
2877  {DBGFIELD("LDTRBi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #895
2878  {DBGFIELD("LDTRHi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #896
2879  {DBGFIELD("LDTRWi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #897
2880  {DBGFIELD("LDTRSBWi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #898
2881  {DBGFIELD("LDTRSBXi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #899
2882  {DBGFIELD("LDTRSHWi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #900
2883  {DBGFIELD("LDTRSHXi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #901
2884  {DBGFIELD("LDPWpre")            3, false, false, 11, 2, 13, 3,  0, 0}, // #902
2885  {DBGFIELD("LDRWpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #903
2886  {DBGFIELD("LDRXpre")            2, false, false, 11, 2, 14, 2,  0, 0}, // #904
2887  {DBGFIELD("LDRSBWpre")          2, false, false, 11, 2, 14, 2,  0, 0}, // #905
2888  {DBGFIELD("LDRSBXpre")          2, false, false, 11, 2, 14, 2,  0, 0}, // #906
2889  {DBGFIELD("LDRSBWpost")         2, false, false, 11, 2, 14, 2,  0, 0}, // #907
2890  {DBGFIELD("LDRSBXpost")         2, false, false, 11, 2, 14, 2,  0, 0}, // #908
2891  {DBGFIELD("LDRSHWpre")          2, false, false, 11, 2, 14, 2,  0, 0}, // #909
2892  {DBGFIELD("LDRSHXpre")          2, false, false, 11, 2, 14, 2,  0, 0}, // #910
2893  {DBGFIELD("LDRSHWpost")         2, false, false, 11, 2, 14, 2,  0, 0}, // #911
2894  {DBGFIELD("LDRSHXpost")         2, false, false, 11, 2, 14, 2,  0, 0}, // #912
2895  {DBGFIELD("LDRBBpre")           2, false, false, 11, 2, 14, 2,  0, 0}, // #913
2896  {DBGFIELD("LDRBBpost")          2, false, false, 11, 2, 14, 2,  0, 0}, // #914
2897  {DBGFIELD("LDRHHpre")           2, false, false, 11, 2, 14, 2,  0, 0}, // #915
2898  {DBGFIELD("LDRHHpost")          2, false, false, 11, 2, 14, 2,  0, 0}, // #916
2899  {DBGFIELD("LDPWpost")           3, false, false, 11, 2, 13, 3,  0, 0}, // #917
2900  {DBGFIELD("LDPXpost")           3, false, false, 11, 2, 13, 3,  0, 0}, // #918
2901  {DBGFIELD("LDRWpost")           2, false, false, 11, 2, 14, 2,  0, 0}, // #919
2902  {DBGFIELD("LDRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #920
2903  {DBGFIELD("LDRXroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #921
2904  {DBGFIELD("LDRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #922
2905  {DBGFIELD("LDRXroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #923
2906  {DBGFIELD("LDURBBi")            1, false, false, 12, 1,  8, 1,  0, 0}, // #924
2907  {DBGFIELD("LDURHHi")            1, false, false, 12, 1,  8, 1,  0, 0}, // #925
2908  {DBGFIELD("LDURXi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #926
2909  {DBGFIELD("LDURSBWi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #927
2910  {DBGFIELD("LDURSBXi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #928
2911  {DBGFIELD("LDURSHWi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #929
2912  {DBGFIELD("LDURSHXi")           1, false, false, 12, 1,  8, 1,  0, 0}, // #930
2913  {DBGFIELD("PRFMl")              1, false, false, 12, 1,  8, 1,  0, 0}, // #931
2914  {DBGFIELD("STURBi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #932
2915  {DBGFIELD("STURBBi")            1, false, false, 12, 1,  8, 1,  0, 0}, // #933
2916  {DBGFIELD("STURDi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #934
2917  {DBGFIELD("STURHi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #935
2918  {DBGFIELD("STURHHi")            1, false, false, 12, 1,  8, 1,  0, 0}, // #936
2919  {DBGFIELD("STURWi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #937
2920  {DBGFIELD("STTRBi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #938
2921  {DBGFIELD("STTRHi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #939
2922  {DBGFIELD("STTRWi")             1, false, false, 12, 1,  8, 1,  0, 0}, // #940
2923  {DBGFIELD("STRBui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #941
2924  {DBGFIELD("STRDui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #942
2925  {DBGFIELD("STRHui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #943
2926  {DBGFIELD("STRXui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #944
2927  {DBGFIELD("STRWui")             1, false, false, 12, 1,  8, 1,  0, 0}, // #945
2928  {DBGFIELD("STRBBroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #946
2929  {DBGFIELD("STRBBroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #947
2930  {DBGFIELD("STRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #948
2931  {DBGFIELD("STRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #949
2932  {DBGFIELD("STRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #950
2933  {DBGFIELD("STRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #951
2934  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
2935  {DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false,  1, 1, 10, 1,  0, 0}, // #953
2936  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  1, 1,  1, 1,  0, 0}, // #954
2937  {DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false,  1, 1,  8, 1,  0, 0}, // #955
2938  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
2939  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
2940  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false,  1, 1, 137, 1,  0, 0}, // #958
2941  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
2942  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false,  1, 1, 137, 1,  0, 0}, // #960
2943  {DBGFIELD("FCMGEv1i16rz")       1, false, false,  1, 1,  1, 1,  0, 0}, // #961
2944  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false,  1, 1,  1, 1,  0, 0}, // #962
2945  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false,  1, 1,  1, 1,  0, 0}, // #963
2946  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false,  1, 1,  1, 1,  0, 0}, // #964
2947  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
2948  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
2949  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
2950  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
2951  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
2952  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
2953  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 12, 1,  8, 1,  0, 0}, // #971
2954  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
2955  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
2956  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
2957  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
2958  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
2959  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
2960  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
2961  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
2962  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
2963  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
2964  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
2965  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
2966  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
2967  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
2968  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
2969  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
2970  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
2971  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
2972  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
2973  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
2974  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
2975  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
2976  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
2977  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
2978  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
2979  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
2980  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
2981  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
2982  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
2983  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
2984  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
2985  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
2986  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
2987  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
2988  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
2989  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
2990  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
2991  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
2992  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
2993  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
2994  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
2995  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
2996  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 12, 1,  8, 1,  0, 0}, // #1014
2997  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
2998  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
2999  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
3000  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
3001  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
3002  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
3003  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
3004  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
3005  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
3006  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
3007  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
3008  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
3009  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
3010  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
3011  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 2, false, false, 93, 3, 10, 1,  3, 1}, // #1029
3012  {DBGFIELD("WriteLD_ReadDefault") 1, false, false, 12, 1,  8, 1,  0, 0}, // #1030
3013  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
3014  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
3015  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
3016  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
3017  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
3018  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
3019  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 2, false, false, 93, 3, 10, 1,  3, 1}, // #1037
3020  {DBGFIELD("WriteST_ReadDefault") 1, false, false, 12, 1,  8, 1,  0, 0}, // #1038
3021  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
3022  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
3023  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
3024  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
3025  {DBGFIELD("WriteX")             1, false, false,  0, 0,  0, 1,  0, 0}, // #1043
3026  {DBGFIELD("WriteI")             1, false, false,  2, 1,  2, 1,  0, 0}, // #1044
3027  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
3028  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
3029  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
3030  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
3031  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
3032  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
3033  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
3034  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
3035  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
3036  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
3037  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
3038  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
3039  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
3040  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
3041  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
3042  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
3043  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
3044  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
3045  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
3046  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
3047  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
3048  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
3049  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
3050  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
3051  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
3052  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
3053  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
3054  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
3055  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
3056  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
3057  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
3058  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
3059  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
3060  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
3061  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
3062  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
3063  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
3064  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
3065  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
3066  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
3067  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
3068  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
3069  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
3070  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
3071  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
3072  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
3073  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
3074  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
3075  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
3076  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
3077  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
3078  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
3079  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
3080  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
3081  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
3082  {DBGFIELD("WriteImm")           1, false, false,  2, 1, 18, 1,  0, 0}, // #1100
3083  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
3084  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
3085  {DBGFIELD("WriteV")             1, false, false,  1, 1,  1, 1,  0, 0}, // #1103
3086  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
3087  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
3088  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
3089  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
3090  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
3091  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
3092}; // CycloneModelSchedClasses
3093
3094// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
3095static const llvm::MCSchedClassDesc CortexA53ModelSchedClasses[] = {
3096  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
3097  {DBGFIELD("WriteV")             1, false, false,  2, 1, 21, 1,  0, 0}, // #1
3098  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false,  7, 1, 148, 1, 18, 18}, // #2
3099  {DBGFIELD("WriteI_ReadI")       1, false, false,  7, 1, 148, 1, 18, 9}, // #3
3100  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #4
3101  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #5
3102  {DBGFIELD("WriteAdr")           1, false, false,  0, 0,  0, 1,  0, 0}, // #6
3103  {DBGFIELD("WriteI")             1, false, false,  7, 1, 148, 1,  0, 0}, // #7
3104  {DBGFIELD("WriteIS_ReadI")      1, false, false,  7, 1, 149, 1, 18, 9}, // #8
3105  {DBGFIELD("WriteSys")           1, false, false, 10, 1,  5, 1,  0, 0}, // #9
3106  {DBGFIELD("WriteBr")            1, false, false, 10, 1,  5, 1,  0, 0}, // #10
3107  {DBGFIELD("WriteBrReg")         1, false, false, 10, 1,  5, 1,  0, 0}, // #11
3108  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
3109  {DBGFIELD("WriteBarrier")       1, false, false, 10, 1,  5, 1,  0, 0}, // #13
3110  {DBGFIELD("WriteExtr_ReadExtrHi") 1, false, false,  7, 1, 137, 1,  0, 1}, // #14
3111  {DBGFIELD("WriteF")             1, false, false,  2, 1, 21, 1,  0, 0}, // #15
3112  {DBGFIELD("WriteFCmp")          1, false, false,  2, 1, 21, 1,  0, 0}, // #16
3113  {DBGFIELD("WriteFCvt")          1, false, false,  2, 1, 21, 1,  0, 0}, // #17
3114  {DBGFIELD("WriteFDiv")          1, false, false, 96, 1, 150, 1,  0, 0}, // #18
3115  {DBGFIELD("WriteFMul")          1, false, false, 97, 1, 21, 1,  0, 0}, // #19
3116  {DBGFIELD("WriteFCopy")         1, false, false,  2, 1, 21, 1,  0, 0}, // #20
3117  {DBGFIELD("WriteFImm")          1, false, false,  2, 1, 21, 1,  0, 0}, // #21
3118  {DBGFIELD("WriteHint")          1, false, false, 10, 1,  5, 1,  0, 0}, // #22
3119  {DBGFIELD("WriteST")            1, false, false, 24, 1,  8, 1,  0, 0}, // #23
3120  {DBGFIELD("WriteLD")            1, false, false, 24, 1,  8, 1,  0, 0}, // #24
3121  {DBGFIELD("WriteLD_WriteLDHi")  2, false, false, 98, 1, 11, 2,  0, 0}, // #25
3122  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 98, 1, 151, 3,  0, 0}, // #26
3123  {DBGFIELD("WriteLD_WriteAdr")   2, false, false, 24, 1, 152, 2,  0, 0}, // #27
3124  {DBGFIELD("WriteLDIdx_ReadAdrBase") 1, false, false, 24, 1,  8, 1,  0, 1}, // #28
3125  {DBGFIELD("WriteLDAdr")         2, false, false, 24, 1,  8, 1,  0, 0}, // #29
3126  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false,  6, 1, 16, 1, 36, 27}, // #30
3127  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false,  6, 1, 154, 1, 36, 27}, // #31
3128  {DBGFIELD("WriteImm")           1, false, false,  7, 1, 155, 1,  0, 0}, // #32
3129  {DBGFIELD("WriteAdrAdr")        2, false, false,  0, 0,  0, 1,  0, 0}, // #33
3130  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 99, 1, 156, 1, 36, 18}, // #34
3131  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 99, 1, 157, 1, 36, 18}, // #35
3132  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false,  6, 1, 154, 1, 36, 18}, // #36
3133  {DBGFIELD("WriteSTP")           1, false, false, 24, 1,  8, 1,  0, 0}, // #37
3134  {DBGFIELD("WriteAdr_WriteSTP")  2, false, false, 24, 1, 158, 2,  0, 0}, // #38
3135  {DBGFIELD("WriteAdr_WriteST")   2, false, false, 24, 1, 158, 2,  0, 0}, // #39
3136  {DBGFIELD("WriteSTX")           2, false, false, 98, 1, 26, 1,  0, 0}, // #40
3137  {DBGFIELD("WriteSTIdx_ReadAdrBase") 1, false, false, 24, 1,  8, 1,  0, 1}, // #41
3138  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 100, 3, 160, 4,  0, 0}, // #42
3139  {DBGFIELD("COPY")               1, false, false,  7, 1, 148, 1,  0, 0}, // #43
3140  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #44
3141  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 24, 1,  8, 1,  0, 0}, // #45
3142  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 24, 1,  8, 1,  0, 0}, // #46
3143  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #47
3144  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 1, false, false, 103, 1, 21, 1,  0, 0}, // #48
3145  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 1, false, false, 104, 1, 31, 1,  0, 0}, // #49
3146  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #50
3147  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #51
3148  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #52
3149  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #53
3150  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 2, false, false, 103, 1, 166, 2,  0, 0}, // #54
3151  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 2, false, false, 104, 1, 168, 2,  0, 0}, // #55
3152  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #56
3153  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 1, false, false, 24, 1,  8, 1,  0, 0}, // #57
3154  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 1, false, false, 98, 1, 10, 1,  0, 0}, // #58
3155  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 1, false, false, 104, 1, 31, 1,  0, 0}, // #59
3156  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #60
3157  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #61
3158  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #62
3159  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 104, 1, 168, 2,  0, 0}, // #63
3160  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 1, false, false, 98, 1, 10, 1,  0, 0}, // #64
3161  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #65
3162  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 1, false, false, 104, 1, 31, 1,  0, 0}, // #66
3163  {DBGFIELD("LD3Threev2d")        1, false, false, 103, 1, 21, 1,  0, 0}, // #67
3164  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #68
3165  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #69
3166  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 2, false, false, 104, 1, 168, 2,  0, 0}, // #70
3167  {DBGFIELD("LD3Threev2d_POST")   2, false, false, 103, 1, 166, 2,  0, 0}, // #71
3168  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 1, false, false, 98, 1, 10, 1,  0, 0}, // #72
3169  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #73
3170  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 1, false, false, 105, 1, 26, 1,  0, 0}, // #74
3171  {DBGFIELD("LD4Fourv2d")         1, false, false, 104, 1, 31, 1,  0, 0}, // #75
3172  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #76
3173  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #77
3174  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 2, false, false, 105, 1, 170, 2,  0, 0}, // #78
3175  {DBGFIELD("LD4Fourv2d_POST")    2, false, false, 104, 1, 168, 2,  0, 0}, // #79
3176  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #80
3177  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 24, 1,  8, 1,  0, 0}, // #81
3178  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 1, false, false, 24, 1,  8, 1,  0, 0}, // #82
3179  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #83
3180  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #84
3181  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #85
3182  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #86
3183  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #87
3184  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #88
3185  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #89
3186  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #90
3187  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 24, 1,  8, 1,  0, 0}, // #91
3188  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #92
3189  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #93
3190  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #94
3191  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #95
3192  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 1, false, false, 98, 1, 10, 1,  0, 0}, // #96
3193  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 1, false, false, 103, 1, 21, 1,  0, 0}, // #97
3194  {DBGFIELD("ST3Threev2d")        1, false, false, 98, 1, 10, 1,  0, 0}, // #98
3195  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #99
3196  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 2, false, false, 103, 1, 166, 2,  0, 0}, // #100
3197  {DBGFIELD("ST3Threev2d_POST")   2, false, false, 98, 1, 164, 2,  0, 0}, // #101
3198  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 1, false, false, 98, 1, 10, 1,  0, 0}, // #102
3199  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 1, false, false, 103, 1, 21, 1,  0, 0}, // #103
3200  {DBGFIELD("ST4Fourv2d")         1, false, false, 98, 1, 10, 1,  0, 0}, // #104
3201  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #105
3202  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 2, false, false, 103, 1, 166, 2,  0, 0}, // #106
3203  {DBGFIELD("ST4Fourv2d_POST")    2, false, false, 98, 1, 164, 2,  0, 0}, // #107
3204  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1,  0, 0}, // #108
3205  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #109
3206  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 1, false, false, 97, 1, 117, 1,  0, 0}, // #110
3207  {DBGFIELD("FDIVSrr")            1, false, false, 106, 1, 172, 1,  0, 0}, // #111
3208  {DBGFIELD("FDIVDrr")            1, false, false, 96, 1, 150, 1,  0, 0}, // #112
3209  {DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 106, 1, 172, 1,  0, 0}, // #113
3210  {DBGFIELD("FDIVv2f64")          1, false, false, 96, 1, 150, 1,  0, 0}, // #114
3211  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 107, 1,  9, 1,  0, 0}, // #115
3212  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 108, 1, 173, 1,  0, 0}, // #116
3213  {DBGFIELD("BL")                 1, false, false, 10, 1,  5, 1,  0, 0}, // #117
3214  {DBGFIELD("BLR")                1, false, false, 10, 1,  5, 1,  0, 0}, // #118
3215  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
3216  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false,  6, 1, 154, 1, 36, 18}, // #120
3217  {DBGFIELD("EXTRWrri")           1, false, false,  7, 1, 137, 1,  0, 1}, // #121
3218  {DBGFIELD("EXTRXrri")           1, false, false,  7, 1, 137, 1,  0, 1}, // #122
3219  {DBGFIELD("BFMWri_BFMXri")      1, false, false,  7, 1, 149, 1, 18, 9}, // #123
3220  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #124
3221  {DBGFIELD("AESDrr_AESErr")      1, false, false,  2, 1, 21, 1,  0, 0}, // #125
3222  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #126
3223  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false,  2, 1, 21, 1,  0, 0}, // #127
3224  {DBGFIELD("SHA1SU0rrr")         1, false, false,  2, 1, 21, 1,  0, 0}, // #128
3225  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false,  2, 1, 21, 1,  0, 0}, // #129
3226  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #130
3227  {DBGFIELD("SHA256SU0rr")        1, false, false,  2, 1, 21, 1,  0, 0}, // #131
3228  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #132
3229  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #133
3230  {DBGFIELD("LD1i16_LD1i32_LD1i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #134
3231  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #135
3232  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 24, 1,  8, 1,  0, 0}, // #136
3233  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #137
3234  {DBGFIELD("LD1Rv1d")            1, false, false, 24, 1,  8, 1,  0, 0}, // #138
3235  {DBGFIELD("LD1Rv1d_POST")       2, false, false, 24, 1, 152, 2,  0, 0}, // #139
3236  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 24, 1,  8, 1,  0, 0}, // #140
3237  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #141
3238  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 98, 1, 10, 1,  0, 0}, // #142
3239  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #143
3240  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 1, false, false, 103, 1, 21, 1,  0, 0}, // #144
3241  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 2, false, false, 103, 1, 166, 2,  0, 0}, // #145
3242  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 1, false, false, 104, 1, 31, 1,  0, 0}, // #146
3243  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 2, false, false, 104, 1, 168, 2,  0, 0}, // #147
3244  {DBGFIELD("LD2i16_LD2i8")       1, false, false, 24, 1,  8, 1,  0, 0}, // #148
3245  {DBGFIELD("LD2i16_POST_LD2i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #149
3246  {DBGFIELD("LD2i32")             1, false, false, 24, 1,  8, 1,  0, 0}, // #150
3247  {DBGFIELD("LD2i32_POST")        2, false, false, 24, 1, 152, 2,  0, 0}, // #151
3248  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 1, false, false, 24, 1,  8, 1,  0, 0}, // #152
3249  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #153
3250  {DBGFIELD("LD2Rv1d")            1, false, false, 24, 1,  8, 1,  0, 0}, // #154
3251  {DBGFIELD("LD2Rv1d_POST")       2, false, false, 24, 1, 152, 2,  0, 0}, // #155
3252  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 1, false, false, 104, 1, 31, 1,  0, 0}, // #156
3253  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 104, 1, 168, 2,  0, 0}, // #157
3254  {DBGFIELD("LD3i16_LD3i8")       1, false, false, 98, 1, 10, 1,  0, 0}, // #158
3255  {DBGFIELD("LD3i16_POST_LD3i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #159
3256  {DBGFIELD("LD3i32")             1, false, false, 98, 1, 10, 1,  0, 0}, // #160
3257  {DBGFIELD("LD3i32_POST")        2, false, false, 98, 1, 164, 2,  0, 0}, // #161
3258  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 1, false, false, 98, 1, 10, 1,  0, 0}, // #162
3259  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #163
3260  {DBGFIELD("LD3Rv1d")            1, false, false, 98, 1, 10, 1,  0, 0}, // #164
3261  {DBGFIELD("LD3Rv1d_POST")       2, false, false, 98, 1, 164, 2,  0, 0}, // #165
3262  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #166
3263  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #167
3264  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 1, false, false, 104, 1, 31, 1,  0, 0}, // #168
3265  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 2, false, false, 104, 1, 168, 2,  0, 0}, // #169
3266  {DBGFIELD("LD4i16_LD4i8")       1, false, false, 98, 1, 10, 1,  0, 0}, // #170
3267  {DBGFIELD("LD4i16_POST_LD4i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #171
3268  {DBGFIELD("LD4i32")             1, false, false, 98, 1, 10, 1,  0, 0}, // #172
3269  {DBGFIELD("LD4i32_POST")        2, false, false, 98, 1, 164, 2,  0, 0}, // #173
3270  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 1, false, false, 98, 1, 10, 1,  0, 0}, // #174
3271  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #175
3272  {DBGFIELD("LD4Rv1d")            1, false, false, 98, 1, 10, 1,  0, 0}, // #176
3273  {DBGFIELD("LD4Rv1d_POST")       2, false, false, 98, 1, 164, 2,  0, 0}, // #177
3274  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #178
3275  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #179
3276  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 1, false, false, 105, 1, 26, 1,  0, 0}, // #180
3277  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 2, false, false, 105, 1, 170, 2,  0, 0}, // #181
3278  {DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #182
3279  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #183
3280  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 24, 1,  8, 1,  0, 0}, // #184
3281  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #185
3282  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 1, false, false, 24, 1,  8, 1,  0, 0}, // #186
3283  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #187
3284  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 1, false, false, 98, 1, 10, 1,  0, 0}, // #188
3285  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #189
3286  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 1, false, false, 98, 1, 10, 1,  0, 0}, // #190
3287  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #191
3288  {DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 24, 1,  8, 1,  0, 0}, // #192
3289  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 24, 1, 152, 2,  0, 0}, // #193
3290  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 1, false, false, 98, 1, 10, 1,  0, 0}, // #194
3291  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #195
3292  {DBGFIELD("ST3i16_ST3i8")       1, false, false, 98, 1, 10, 1,  0, 0}, // #196
3293  {DBGFIELD("ST3i16_POST_ST3i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #197
3294  {DBGFIELD("ST3i32")             1, false, false, 98, 1, 10, 1,  0, 0}, // #198
3295  {DBGFIELD("ST3i32_POST")        2, false, false, 98, 1, 164, 2,  0, 0}, // #199
3296  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 1, false, false, 103, 1, 21, 1,  0, 0}, // #200
3297  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 2, false, false, 103, 1, 166, 2,  0, 0}, // #201
3298  {DBGFIELD("ST4i16_ST4i8")       1, false, false, 98, 1, 10, 1,  0, 0}, // #202
3299  {DBGFIELD("ST4i16_POST_ST4i8_POST") 2, false, false, 98, 1, 164, 2,  0, 0}, // #203
3300  {DBGFIELD("ST4i32")             1, false, false, 98, 1, 10, 1,  0, 0}, // #204
3301  {DBGFIELD("ST4i32_POST")        2, false, false, 98, 1, 164, 2,  0, 0}, // #205
3302  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 1, false, false, 103, 1, 21, 1,  0, 0}, // #206
3303  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 2, false, false, 103, 1, 166, 2,  0, 0}, // #207
3304  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #208
3305  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #209
3306  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
3307  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #211
3308  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #212
3309  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #213
3310  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #214
3311  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #215
3312  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #216
3313  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #217
3314  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #218
3315  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #219
3316  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #220
3317  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #221
3318  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
3319  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #223
3320  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
3321  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #225
3322  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #226
3323  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #227
3324  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
3325  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #229
3326  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
3327  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #231
3328  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
3329  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #233
3330  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
3331  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #235
3332  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #236
3333  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #237
3334  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #238
3335  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #239
3336  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #240
3337  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #241
3338  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #242
3339  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #243
3340  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #244
3341  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #245
3342  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #246
3343  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #247
3344  {DBGFIELD("FDIVv2f32")          1, false, false, 106, 1, 172, 1,  0, 0}, // #248
3345  {DBGFIELD("FSQRTv2f32")         1, false, false, 107, 1,  9, 1,  0, 0}, // #249
3346  {DBGFIELD("FSQRTv4f32")         1, false, false, 107, 1,  9, 1,  0, 0}, // #250
3347  {DBGFIELD("FSQRTv2f64")         1, false, false, 108, 1, 173, 1,  0, 0}, // #251
3348  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #252
3349  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #253
3350  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #254
3351  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #255
3352  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #256
3353  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #257
3354  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #258
3355  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #259
3356  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #260
3357  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #261
3358  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #262
3359  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #263
3360  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #264
3361  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #265
3362  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #266
3363  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
3364  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #268
3365  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #269
3366  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 107, 1,  9, 1,  0, 0}, // #270
3367  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 108, 1, 173, 1,  0, 0}, // #271
3368  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #272
3369  {DBGFIELD("FRSQRTEv2f64")       1, false, false, 108, 1, 173, 1,  0, 0}, // #273
3370  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 107, 1,  9, 1,  0, 0}, // #274
3371  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #275
3372  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 107, 1,  9, 1,  0, 0}, // #276
3373  {DBGFIELD("FRSQRTS64")          1, false, false, 108, 1, 173, 1,  0, 0}, // #277
3374  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #278
3375  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false,  2, 1, 21, 1,  0, 0}, // #279
3376  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false,  2, 1, 21, 1,  0, 0}, // #280
3377  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false,  2, 1, 21, 1,  0, 0}, // #281
3378  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false,  2, 1, 21, 1,  0, 0}, // #282
3379  {DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false,  2, 1, 21, 1,  0, 0}, // #283
3380  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false,  2, 1, 21, 1,  0, 0}, // #284
3381  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false,  2, 1, 21, 1,  0, 0}, // #285
3382  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false,  2, 1, 21, 1,  0, 0}, // #286
3383  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #287
3384  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #288
3385  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #289
3386  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #290
3387  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1,  0, 0}, // #291
3388  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #292
3389  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false,  2, 1, 21, 1,  0, 0}, // #293
3390  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #294
3391  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
3392  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #296
3393  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #297
3394  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #298
3395  {DBGFIELD("FSQRTDr")            1, false, false, 96, 1, 150, 1,  0, 0}, // #299
3396  {DBGFIELD("FSQRTSr")            1, false, false, 96, 1, 150, 1,  0, 0}, // #300
3397  {DBGFIELD("LDNPDi")             2, false, false, 98, 1, 11, 2,  0, 0}, // #301
3398  {DBGFIELD("LDNPQi")             2, false, false, 98, 1, 11, 2,  0, 0}, // #302
3399  {DBGFIELD("LDNPSi")             2, false, false, 98, 1, 11, 2,  0, 0}, // #303
3400  {DBGFIELD("LDPDi")              2, false, false, 98, 1, 11, 2,  0, 0}, // #304
3401  {DBGFIELD("LDPDpost")           3, false, false, 98, 1, 151, 3,  0, 0}, // #305
3402  {DBGFIELD("LDPDpre")            3, false, false, 98, 1, 151, 3,  0, 0}, // #306
3403  {DBGFIELD("LDPQi")              2, false, false, 98, 1, 11, 2,  0, 0}, // #307
3404  {DBGFIELD("LDPQpost")           3, false, false, 98, 1, 151, 3,  0, 0}, // #308
3405  {DBGFIELD("LDPQpre")            3, false, false, 98, 1, 151, 3,  0, 0}, // #309
3406  {DBGFIELD("LDPSWi")             2, false, false, 98, 1, 11, 2,  0, 0}, // #310
3407  {DBGFIELD("LDPSWpost")          3, false, false, 98, 1, 151, 3,  0, 0}, // #311
3408  {DBGFIELD("LDPSWpre")           3, false, false, 98, 1, 151, 3,  0, 0}, // #312
3409  {DBGFIELD("LDPSi")              2, false, false, 98, 1, 11, 2,  0, 0}, // #313
3410  {DBGFIELD("LDPSpost")           3, false, false, 98, 1, 151, 3,  0, 0}, // #314
3411  {DBGFIELD("LDPSpre")            3, false, false, 98, 1, 151, 3,  0, 0}, // #315
3412  {DBGFIELD("LDRBpost")           2, false, false, 24, 1, 152, 2,  0, 0}, // #316
3413  {DBGFIELD("LDRBpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #317
3414  {DBGFIELD("LDRBroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #318
3415  {DBGFIELD("LDRBroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #319
3416  {DBGFIELD("LDRBui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #320
3417  {DBGFIELD("LDRDl")              1, false, false, 24, 1,  8, 1,  0, 0}, // #321
3418  {DBGFIELD("LDRDpost")           2, false, false, 24, 1, 152, 2,  0, 0}, // #322
3419  {DBGFIELD("LDRDpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #323
3420  {DBGFIELD("LDRDroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #324
3421  {DBGFIELD("LDRDroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #325
3422  {DBGFIELD("LDRDui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #326
3423  {DBGFIELD("LDRHHroW")           1, false, false, 24, 1,  8, 1,  0, 1}, // #327
3424  {DBGFIELD("LDRHHroX")           1, false, false, 24, 1,  8, 1,  0, 1}, // #328
3425  {DBGFIELD("LDRHpost")           2, false, false, 24, 1, 152, 2,  0, 0}, // #329
3426  {DBGFIELD("LDRHpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #330
3427  {DBGFIELD("LDRHroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #331
3428  {DBGFIELD("LDRHroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #332
3429  {DBGFIELD("LDRHui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #333
3430  {DBGFIELD("LDRQl")              1, false, false, 24, 1,  8, 1,  0, 0}, // #334
3431  {DBGFIELD("LDRQpost")           2, false, false, 24, 1, 152, 2,  0, 0}, // #335
3432  {DBGFIELD("LDRQpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #336
3433  {DBGFIELD("LDRQroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #337
3434  {DBGFIELD("LDRQroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #338
3435  {DBGFIELD("LDRQui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #339
3436  {DBGFIELD("LDRSHWroW")          1, false, false, 24, 1,  8, 1,  0, 1}, // #340
3437  {DBGFIELD("LDRSHWroX")          1, false, false, 24, 1,  8, 1,  0, 1}, // #341
3438  {DBGFIELD("LDRSHXroW")          1, false, false, 24, 1,  8, 1,  0, 1}, // #342
3439  {DBGFIELD("LDRSHXroX")          1, false, false, 24, 1,  8, 1,  0, 1}, // #343
3440  {DBGFIELD("LDRSl")              1, false, false, 24, 1,  8, 1,  0, 0}, // #344
3441  {DBGFIELD("LDRSpost")           2, false, false, 24, 1, 152, 2,  0, 0}, // #345
3442  {DBGFIELD("LDRSpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #346
3443  {DBGFIELD("LDRSroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #347
3444  {DBGFIELD("LDRSroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #348
3445  {DBGFIELD("LDRSui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #349
3446  {DBGFIELD("LDURBi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #350
3447  {DBGFIELD("LDURDi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #351
3448  {DBGFIELD("LDURHi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #352
3449  {DBGFIELD("LDURQi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #353
3450  {DBGFIELD("LDURSi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #354
3451  {DBGFIELD("STNPDi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #355
3452  {DBGFIELD("STNPQi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #356
3453  {DBGFIELD("STNPXi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #357
3454  {DBGFIELD("STPDi")              1, false, false, 24, 1,  8, 1,  0, 0}, // #358
3455  {DBGFIELD("STPDpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #359
3456  {DBGFIELD("STPDpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #360
3457  {DBGFIELD("STPQi")              1, false, false, 24, 1,  8, 1,  0, 0}, // #361
3458  {DBGFIELD("STPQpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #362
3459  {DBGFIELD("STPQpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #363
3460  {DBGFIELD("STPSpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #364
3461  {DBGFIELD("STPSpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #365
3462  {DBGFIELD("STPWpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #366
3463  {DBGFIELD("STPWpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #367
3464  {DBGFIELD("STPXi")              1, false, false, 24, 1,  8, 1,  0, 0}, // #368
3465  {DBGFIELD("STPXpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #369
3466  {DBGFIELD("STPXpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #370
3467  {DBGFIELD("STRBBpost")          2, false, false, 24, 1, 158, 2,  0, 0}, // #371
3468  {DBGFIELD("STRBBpre")           2, false, false, 24, 1, 158, 2,  0, 0}, // #372
3469  {DBGFIELD("STRBpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #373
3470  {DBGFIELD("STRBpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #374
3471  {DBGFIELD("STRBroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #375
3472  {DBGFIELD("STRBroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #376
3473  {DBGFIELD("STRDpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #377
3474  {DBGFIELD("STRDpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #378
3475  {DBGFIELD("STRHHpost")          2, false, false, 24, 1, 158, 2,  0, 0}, // #379
3476  {DBGFIELD("STRHHpre")           2, false, false, 24, 1, 158, 2,  0, 0}, // #380
3477  {DBGFIELD("STRHHroW")           1, false, false, 24, 1,  8, 1,  0, 1}, // #381
3478  {DBGFIELD("STRHHroX")           1, false, false, 24, 1,  8, 1,  0, 1}, // #382
3479  {DBGFIELD("STRHpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #383
3480  {DBGFIELD("STRHpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #384
3481  {DBGFIELD("STRHroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #385
3482  {DBGFIELD("STRHroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #386
3483  {DBGFIELD("STRQpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #387
3484  {DBGFIELD("STRQpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #388
3485  {DBGFIELD("STRQroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #389
3486  {DBGFIELD("STRQroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #390
3487  {DBGFIELD("STRQui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #391
3488  {DBGFIELD("STRSpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #392
3489  {DBGFIELD("STRSpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #393
3490  {DBGFIELD("STRWpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #394
3491  {DBGFIELD("STRWpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #395
3492  {DBGFIELD("STRXpost")           2, false, false, 24, 1, 158, 2,  0, 0}, // #396
3493  {DBGFIELD("STRXpre")            2, false, false, 24, 1, 158, 2,  0, 0}, // #397
3494  {DBGFIELD("STURQi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #398
3495  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false,  7, 1, 155, 1,  0, 0}, // #399
3496  {DBGFIELD("ANDWri_ANDXri")      1, false, false,  7, 1, 148, 1, 18, 9}, // #400
3497  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false,  7, 1, 148, 1, 18, 18}, // #401
3498  {DBGFIELD("ISB")                1, false, false, 10, 1,  5, 1,  0, 0}, // #402
3499  {DBGFIELD("ORRv16i8")           1, false, false,  2, 1, 21, 1,  0, 0}, // #403
3500  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #404
3501  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #405
3502  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #406
3503  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #407
3504  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #408
3505  {DBGFIELD("ADDVv16i8v")         1, false, false,  2, 1, 21, 1,  0, 0}, // #409
3506  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #410
3507  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #411
3508  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #412
3509  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #413
3510  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #414
3511  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #415
3512  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #416
3513  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #417
3514  {DBGFIELD("FADDPv2i32p")        1, false, false,  2, 1, 21, 1,  0, 0}, // #418
3515  {DBGFIELD("FADDPv2i64p")        1, false, false,  2, 1, 21, 1,  0, 0}, // #419
3516  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #420
3517  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #421
3518  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #422
3519  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #423
3520  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #424
3521  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #425
3522  {DBGFIELD("FADDPv4f32")         1, false, false,  2, 1, 21, 1,  0, 0}, // #426
3523  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #427
3524  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #428
3525  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
3526  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #430
3527  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #431
3528  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #432
3529  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
3530  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #434
3531  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #435
3532  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #436
3533  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #437
3534  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #438
3535  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #439
3536  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #440
3537  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #441
3538  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #442
3539  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #443
3540  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #444
3541  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #445
3542  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #446
3543  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #447
3544  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 97, 1, 21, 1,  0, 0}, // #448
3545  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #449
3546  {DBGFIELD("FMULX64")            1, false, false,  2, 1, 21, 1,  0, 0}, // #450
3547  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
3548  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1,  0, 0}, // #452
3549  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #453
3550  {DBGFIELD("FMLAv4f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #454
3551  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #455
3552  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #456
3553  {DBGFIELD("URSQRTEv2i32")       1, false, false, 107, 1,  9, 1,  0, 0}, // #457
3554  {DBGFIELD("URSQRTEv4i32")       1, false, false, 107, 1,  9, 1,  0, 0}, // #458
3555  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #459
3556  {DBGFIELD("FRECPSv2f32")        1, false, false,  2, 1, 21, 1,  0, 0}, // #460
3557  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #461
3558  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 107, 1,  9, 1,  0, 0}, // #462
3559  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #463
3560  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #464
3561  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #465
3562  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false,  2, 1, 21, 1,  0, 0}, // #466
3563  {DBGFIELD("SHA256SU1rrr")       1, false, false,  2, 1, 21, 1,  0, 0}, // #467
3564  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #468
3565  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #469
3566  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #470
3567  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #471
3568  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #472
3569  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #473
3570  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #474
3571  {DBGFIELD("FCVTXNv1i64")        1, false, false,  2, 1, 21, 1,  0, 0}, // #475
3572  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #476
3573  {DBGFIELD("FMULX32")            1, false, false,  2, 1, 21, 1,  0, 0}, // #477
3574  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #478
3575  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #479
3576  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #480
3577  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #481
3578  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #482
3579  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #483
3580  {DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #484
3581  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #485
3582  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #486
3583  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #487
3584  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #488
3585  {DBGFIELD("ADDPv2i64p")         1, false, false,  2, 1, 21, 1,  0, 0}, // #489
3586  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #490
3587  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #491
3588  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #492
3589  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #493
3590  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #494
3591  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #495
3592  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #496
3593  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #497
3594  {DBGFIELD("SSHRd_USHRd")        1, false, false,  2, 1, 21, 1,  0, 0}, // #498
3595  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #499
3596  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #500
3597  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #501
3598  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #502
3599  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #503
3600  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #504
3601  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #505
3602  {DBGFIELD("SHLd")               1, false, false,  2, 1, 21, 1,  0, 0}, // #506
3603  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #507
3604  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #508
3605  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #509
3606  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #510
3607  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #511
3608  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #512
3609  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #513
3610  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #514
3611  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false,  2, 1, 21, 1,  0, 0}, // #515
3612  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #516
3613  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #517
3614  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #518
3615  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #519
3616  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #520
3617  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #521
3618  {DBGFIELD("ADDVv4i16v")         1, false, false,  2, 1, 21, 1,  0, 0}, // #522
3619  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #523
3620  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #524
3621  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #525
3622  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #526
3623  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #527
3624  {DBGFIELD("ADDVv4i32v")         1, false, false,  2, 1, 21, 1,  0, 0}, // #528
3625  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #529
3626  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #530
3627  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #531
3628  {DBGFIELD("ADDPv2i64")          1, false, false,  2, 1, 21, 1,  0, 0}, // #532
3629  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #533
3630  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #534
3631  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #535
3632  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #536
3633  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #537
3634  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #538
3635  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #539
3636  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #540
3637  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #541
3638  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #542
3639  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #543
3640  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #544
3641  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #545
3642  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #546
3643  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #547
3644  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #548
3645  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #549
3646  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #550
3647  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #551
3648  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #552
3649  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #553
3650  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #554
3651  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #555
3652  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false,  7, 1, 148, 1, 18, 9}, // #556
3653  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #557
3654  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #558
3655  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false,  7, 1, 148, 1, 18, 9}, // #559
3656  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #560
3657  {DBGFIELD("ADDXrr")             1, false, false,  7, 1, 148, 1, 18, 18}, // #561
3658  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #562
3659  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false,  7, 1, 148, 1, 18, 9}, // #563
3660  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #564
3661  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #565
3662  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #566
3663  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #567
3664  {DBGFIELD("EONWrr_EONXrr")      1, false, false,  7, 1, 148, 1, 18, 18}, // #568
3665  {DBGFIELD("EONWrs_EONXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #569
3666  {DBGFIELD("EORWri_EORXri")      1, false, false,  7, 1, 148, 1, 18, 9}, // #570
3667  {DBGFIELD("EORWrr_EORXrr")      1, false, false,  7, 1, 148, 1, 18, 18}, // #571
3668  {DBGFIELD("EORWrs_EORXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #572
3669  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false,  7, 1, 148, 1, 18, 18}, // #573
3670  {DBGFIELD("ORNWrs_ORNXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #574
3671  {DBGFIELD("ORRWri_ORRXri")      1, false, false,  7, 1, 148, 1, 18, 9}, // #575
3672  {DBGFIELD("ORRWrr")             1, false, false,  7, 1, 148, 1, 18, 18}, // #576
3673  {DBGFIELD("ORRWrs_ORRXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #577
3674  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #578
3675  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false,  7, 1, 148, 1, 18, 9}, // #579
3676  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #580
3677  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
3678  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #582
3679  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #583
3680  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #584
3681  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #585
3682  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #586
3683  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #587
3684  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #588
3685  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #589
3686  {DBGFIELD("EXTv8i8")            1, false, false,  2, 1, 21, 1,  0, 0}, // #590
3687  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #591
3688  {DBGFIELD("TBLv8i8One")         1, false, false,  2, 1, 21, 1,  0, 0}, // #592
3689  {DBGFIELD("NOTv8i8")            1, false, false,  2, 1, 21, 1,  0, 0}, // #593
3690  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #594
3691  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #595
3692  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #596
3693  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #597
3694  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #598
3695  {DBGFIELD("FRECPS32")           1, false, false,  2, 1, 21, 1,  0, 0}, // #599
3696  {DBGFIELD("EXTv16i8")           1, false, false,  2, 1, 21, 1,  0, 0}, // #600
3697  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #601
3698  {DBGFIELD("NOTv16i8")           1, false, false,  2, 1, 21, 1,  0, 0}, // #602
3699  {DBGFIELD("TBLv16i8One")        1, false, false,  2, 1, 21, 1,  0, 0}, // #603
3700  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #604
3701  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #605
3702  {DBGFIELD("TBLv8i8Two")         1, false, false,  2, 1, 21, 1,  0, 0}, // #606
3703  {DBGFIELD("FRECPSv4f32")        1, false, false,  2, 1, 21, 1,  0, 0}, // #607
3704  {DBGFIELD("TBLv16i8Two")        1, false, false,  2, 1, 21, 1,  0, 0}, // #608
3705  {DBGFIELD("TBLv8i8Three")       1, false, false,  2, 1, 21, 1,  0, 0}, // #609
3706  {DBGFIELD("TBLv16i8Three")      1, false, false,  2, 1, 21, 1,  0, 0}, // #610
3707  {DBGFIELD("TBLv8i8Four")        1, false, false,  2, 1, 21, 1,  0, 0}, // #611
3708  {DBGFIELD("TBLv16i8Four")       1, false, false,  2, 1, 21, 1,  0, 0}, // #612
3709  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 24, 1,  8, 1,  0, 0}, // #613
3710  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 1, false, false, 24, 1,  8, 1,  0, 1}, // #614
3711  {DBGFIELD("STPSi")              1, false, false, 24, 1,  8, 1,  0, 0}, // #615
3712  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #616
3713  {DBGFIELD("STNPSi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #617
3714  {DBGFIELD("B")                  1, false, false, 10, 1,  5, 1,  0, 0}, // #618
3715  {DBGFIELD("TCRETURNdi")         1, false, false, 10, 1,  5, 1,  0, 0}, // #619
3716  {DBGFIELD("BR_RET")             1, false, false, 10, 1,  5, 1,  0, 0}, // #620
3717  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 10, 1,  5, 1,  0, 0}, // #621
3718  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 10, 1,  5, 1,  0, 0}, // #622
3719  {DBGFIELD("Bcc")                1, false, false, 10, 1,  5, 1,  0, 0}, // #623
3720  {DBGFIELD("SHA1Hrr")            1, false, false,  2, 1, 21, 1,  0, 0}, // #624
3721  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #625
3722  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #626
3723  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #627
3724  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #628
3725  {DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #629
3726  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #630
3727  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #631
3728  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #632
3729  {DBGFIELD("FCVTSDr")            1, false, false,  2, 1, 21, 1,  0, 0}, // #633
3730  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 97, 1, 21, 1,  0, 0}, // #634
3731  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #635
3732  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false,  2, 1, 21, 1,  0, 0}, // #636
3733  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false,  2, 1, 21, 1,  0, 0}, // #637
3734  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false,  2, 1, 21, 1,  0, 0}, // #638
3735  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false,  2, 1, 21, 1,  0, 0}, // #639
3736  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #640
3737  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #641
3738  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 24, 1,  8, 1,  0, 0}, // #642
3739  {DBGFIELD("PRFUMi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #643
3740  {DBGFIELD("LDNPWi_LDNPXi")      2, false, false, 98, 1, 11, 2,  0, 0}, // #644
3741  {DBGFIELD("LDPWi_LDPXi")        2, false, false, 98, 1, 11, 2,  0, 0}, // #645
3742  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 98, 1, 151, 3,  0, 0}, // #646
3743  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 24, 1,  8, 1,  0, 0}, // #647
3744  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 24, 1, 152, 2,  0, 0}, // #648
3745  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 1, false, false, 24, 1,  8, 1,  0, 1}, // #649
3746  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 24, 1,  8, 1,  0, 0}, // #650
3747  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #651
3748  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #652
3749  {DBGFIELD("PRFMroW_PRFMroX")    1, false, false, 24, 1,  8, 1,  0, 0}, // #653
3750  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 24, 1,  8, 1,  0, 0}, // #654
3751  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 24, 1, 152, 2,  0, 0}, // #655
3752  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 1, false, false, 24, 1,  8, 1,  0, 1}, // #656
3753  {DBGFIELD("LDRSWl")             1, false, false, 24, 1,  8, 1,  0, 0}, // #657
3754  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #658
3755  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #659
3756  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false,  7, 1, 149, 1, 18, 9}, // #660
3757  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false,  7, 1, 148, 1, 18, 9}, // #661
3758  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false,  6, 1, 16, 1, 36, 27}, // #662
3759  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false,  6, 1, 16, 1, 36, 27}, // #663
3760  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false,  6, 1, 154, 1, 36, 27}, // #664
3761  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 99, 1, 156, 1, 36, 18}, // #665
3762  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 99, 1, 157, 1, 36, 18}, // #666
3763  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false,  7, 1, 149, 1, 18, 9}, // #667
3764  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false,  7, 1, 148, 1, 18, 9}, // #668
3765  {DBGFIELD("ADR_ADRP")           1, false, false,  7, 1, 148, 1,  0, 0}, // #669
3766  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  7, 1, 155, 1,  0, 0}, // #670
3767  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false,  7, 1, 155, 1,  0, 0}, // #671
3768  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false,  0, 0,  0, 1,  0, 0}, // #672
3769  {DBGFIELD("LOADgot")            2, false, false, 24, 1,  8, 1,  0, 0}, // #673
3770  {DBGFIELD("CLREX_DMB_DSB")      1, false, false, 10, 1,  5, 1,  0, 0}, // #674
3771  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 10, 1,  5, 1,  0, 0}, // #675
3772  {DBGFIELD("HINT")               1, false, false, 10, 1,  5, 1,  0, 0}, // #676
3773  {DBGFIELD("SYSxt_SYSLxt")       1, false, false, 10, 1,  5, 1,  0, 0}, // #677
3774  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 10, 1,  5, 1,  0, 0}, // #678
3775  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 24, 1,  8, 1,  0, 0}, // #679
3776  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 98, 1, 11, 2,  0, 0}, // #680
3777  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false, 10, 1,  5, 1,  0, 0}, // #681
3778  {DBGFIELD("DRPS")               1, false, false, 10, 1,  5, 1,  0, 0}, // #682
3779  {DBGFIELD("MSR")                1, false, false, 10, 1,  5, 1,  0, 0}, // #683
3780  {DBGFIELD("STNPWi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #684
3781  {DBGFIELD("ERET")               1, false, false, 10, 1,  5, 1,  0, 0}, // #685
3782  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
3783  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 24, 1,  8, 1,  0, 0}, // #687
3784  {DBGFIELD("STXPW_STXPX")        2, false, false, 98, 1, 26, 1,  0, 0}, // #688
3785  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 98, 1, 26, 1,  0, 0}, // #689
3786  {DBGFIELD("STLXPW_STLXPX")      2, false, false, 98, 1, 26, 1,  0, 0}, // #690
3787  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 98, 1, 26, 1,  0, 0}, // #691
3788  {DBGFIELD("STPWi")              1, false, false, 24, 1,  8, 1,  0, 0}, // #692
3789  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 24, 1,  8, 1,  0, 0}, // #693
3790  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 1, false, false, 24, 1,  8, 1,  0, 1}, // #694
3791  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #695
3792  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 24, 1,  8, 1,  0, 0}, // #696
3793  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #697
3794  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #698
3795  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #699
3796  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #700
3797  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #701
3798  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #702
3799  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #703
3800  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
3801  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #705
3802  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #706
3803  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
3804  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
3805  {DBGFIELD("ADDv1i64")           1, false, false,  2, 1, 21, 1,  0, 0}, // #709
3806  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #710
3807  {DBGFIELD("ANDSWri")            1, false, false,  7, 1, 148, 1, 18, 9}, // #711
3808  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false,  7, 1, 148, 1, 18, 18}, // #712
3809  {DBGFIELD("ANDSWrs_ANDWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #713
3810  {DBGFIELD("ANDWri")             1, false, false,  7, 1, 148, 1, 18, 9}, // #714
3811  {DBGFIELD("BICSWrr_BICWrr")     1, false, false,  7, 1, 148, 1, 18, 18}, // #715
3812  {DBGFIELD("BICSWrs_BICWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #716
3813  {DBGFIELD("EONWrr")             1, false, false,  7, 1, 148, 1, 18, 18}, // #717
3814  {DBGFIELD("EONWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #718
3815  {DBGFIELD("EORWri")             1, false, false,  7, 1, 148, 1, 18, 9}, // #719
3816  {DBGFIELD("EORWrr")             1, false, false,  7, 1, 148, 1, 18, 18}, // #720
3817  {DBGFIELD("EORWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #721
3818  {DBGFIELD("ORNWrr")             1, false, false,  7, 1, 148, 1, 18, 18}, // #722
3819  {DBGFIELD("ORNWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #723
3820  {DBGFIELD("ORRWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #724
3821  {DBGFIELD("ORRWri")             1, false, false,  7, 1, 148, 1, 18, 9}, // #725
3822  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false,  7, 1, 148, 1, 18, 9}, // #726
3823  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #727
3824  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #728
3825  {DBGFIELD("CSELWr_CSELXr")      1, false, false,  7, 1, 148, 1, 18, 18}, // #729
3826  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false,  7, 1, 148, 1, 18, 18}, // #730
3827  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #731
3828  {DBGFIELD("FCMGEv2f32")         1, false, false,  2, 1, 21, 1,  0, 0}, // #732
3829  {DBGFIELD("FABDv2f32")          1, false, false,  2, 1, 21, 1,  0, 0}, // #733
3830  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #734
3831  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #735
3832  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #736
3833  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #737
3834  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #738
3835  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #739
3836  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #740
3837  {DBGFIELD("FMLSv4f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #741
3838  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 97, 1, 117, 1,  0, 0}, // #742
3839  {DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #743
3840  {DBGFIELD("FMOVXDHighr")        1, false, false,  2, 1, 21, 1,  0, 0}, // #744
3841  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #745
3842  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #746
3843  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 107, 1,  9, 1,  0, 0}, // #747
3844  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 24, 1,  8, 1,  0, 0}, // #748
3845  {DBGFIELD("LDAXPW_LDAXPX")      2, false, false, 98, 1, 11, 2,  0, 0}, // #749
3846  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false,  7, 1, 149, 1, 18, 9}, // #750
3847  {DBGFIELD("MRS")                1, false, false, 10, 1,  5, 1,  0, 0}, // #751
3848  {DBGFIELD("MSRpstateImm4")      1, false, false, 10, 1,  5, 1,  0, 0}, // #752
3849  {DBGFIELD("RBITWr_RBITXr")      1, false, false,  7, 1, 148, 1, 18, 9}, // #753
3850  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #754
3851  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #755
3852  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #756
3853  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #757
3854  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #758
3855  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #759
3856  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #760
3857  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #761
3858  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 10, 1,  5, 1,  0, 0}, // #762
3859  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
3860  {DBGFIELD("ANDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #764
3861  {DBGFIELD("ANDXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #765
3862  {DBGFIELD("BICWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #766
3863  {DBGFIELD("BICXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #767
3864  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
3865  {DBGFIELD("ADDWri_ADDXri")      1, false, false,  7, 1, 148, 1, 18, 9}, // #769
3866  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 1, false, false, 24, 1,  8, 1,  0, 1}, // #770
3867  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 1, false, false, 24, 1,  8, 1,  0, 1}, // #771
3868  {DBGFIELD("PRFMroW")            1, false, false, 24, 1,  8, 1,  0, 0}, // #772
3869  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 1, false, false, 24, 1,  8, 1,  0, 1}, // #773
3870  {DBGFIELD("FABSDr_FABSSr")      1, false, false,  2, 1, 21, 1,  0, 0}, // #774
3871  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #775
3872  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false,  2, 1, 21, 1,  0, 0}, // #776
3873  {DBGFIELD("FRECPEv1f16")        1, false, false,  2, 1, 21, 1,  0, 0}, // #777
3874  {DBGFIELD("FRSQRTEv1f16")       1, false, false,  2, 1, 21, 1,  0, 0}, // #778
3875  {DBGFIELD("FRECPXv1f16")        1, false, false,  2, 1, 21, 1,  0, 0}, // #779
3876  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #780
3877  {DBGFIELD("FMOVDXr")            1, false, false,  2, 1, 21, 1,  0, 0}, // #781
3878  {DBGFIELD("STRDroW_STRSroW")    1, false, false, 24, 1,  8, 1,  0, 1}, // #782
3879  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #783
3880  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #784
3881  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #785
3882  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #786
3883  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
3884  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #788
3885  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #789
3886  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false,  2, 1, 21, 1,  0, 0}, // #790
3887  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #791
3888  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #792
3889  {DBGFIELD("FABSv2f32")          1, false, false,  2, 1, 21, 1,  0, 0}, // #793
3890  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #794
3891  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #795
3892  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #796
3893  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
3894  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #798
3895  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #799
3896  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #800
3897  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #801
3898  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #802
3899  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #803
3900  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #804
3901  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #805
3902  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #806
3903  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #807
3904  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #808
3905  {DBGFIELD("FMLAv2f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #809
3906  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 97, 1, 117, 1,  0, 0}, // #810
3907  {DBGFIELD("FMLSv2f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #811
3908  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #812
3909  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #813
3910  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #814
3911  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #815
3912  {DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #816
3913  {DBGFIELD("FABSHr")             1, false, false,  2, 1, 21, 1,  0, 0}, // #817
3914  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #818
3915  {DBGFIELD("FADDPv2i16p")        1, false, false,  2, 1, 21, 1,  0, 0}, // #819
3916  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #820
3917  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #821
3918  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #822
3919  {DBGFIELD("FDIVHrr")            1, false, false, 96, 1, 150, 1,  0, 0}, // #823
3920  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 97, 1, 21, 1,  0, 0}, // #824
3921  {DBGFIELD("FMULX16")            1, false, false,  2, 1, 21, 1,  0, 0}, // #825
3922  {DBGFIELD("FNEGHr")             1, false, false,  2, 1, 21, 1,  0, 0}, // #826
3923  {DBGFIELD("FCSELHrrr")          1, false, false,  2, 1, 21, 1,  0, 0}, // #827
3924  {DBGFIELD("FSQRTHr")            1, false, false, 96, 1, 150, 1,  0, 0}, // #828
3925  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #829
3926  {DBGFIELD("FMOVHi")             1, false, false,  2, 1, 21, 1,  0, 0}, // #830
3927  {DBGFIELD("FMOVHr")             1, false, false,  2, 1, 21, 1,  0, 0}, // #831
3928  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #832
3929  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #833
3930  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
3931  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #835
3932  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #836
3933  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #837
3934  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #838
3935  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #839
3936  {DBGFIELD("FDIVv4f16")          1, false, false,  2, 1, 21, 1,  0, 0}, // #840
3937  {DBGFIELD("FDIVv8f16")          1, false, false,  2, 1, 21, 1,  0, 0}, // #841
3938  {DBGFIELD("FSQRTv4f16")         1, false, false,  2, 1, 21, 1,  0, 0}, // #842
3939  {DBGFIELD("FSQRTv8f16")         1, false, false,  2, 1, 21, 1,  0, 0}, // #843
3940  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #844
3941  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #845
3942  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false,  2, 1, 21, 1,  0, 0}, // #846
3943  {DBGFIELD("PMULLv1i64")         1, false, false,  2, 1, 21, 1,  0, 0}, // #847
3944  {DBGFIELD("PMULLv8i8")          1, false, false,  2, 1, 21, 1,  0, 0}, // #848
3945  {DBGFIELD("SHA256H2rrr")        1, false, false,  2, 1, 21, 1,  0, 0}, // #849
3946  {DBGFIELD("TBNZW_TBZW")         1, false, false, 10, 1,  5, 1,  0, 0}, // #850
3947  {DBGFIELD("ADCSWr_ADCWr")       1, false, false,  7, 1, 148, 1, 18, 18}, // #851
3948  {DBGFIELD("SBCSWr_SBCWr")       1, false, false,  7, 1, 148, 1, 18, 18}, // #852
3949  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
3950  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
3951  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
3952  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
3953  {DBGFIELD("ADDSWrx_ADDWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #857
3954  {DBGFIELD("SUBSWrx_SUBWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #858
3955  {DBGFIELD("ADDWri")             1, false, false,  7, 1, 148, 1, 18, 9}, // #859
3956  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false,  7, 1, 148, 1, 18, 9}, // #860
3957  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false,  7, 1, 148, 1, 18, 18}, // #861
3958  {DBGFIELD("CSELWr")             1, false, false,  7, 1, 148, 1, 18, 18}, // #862
3959  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false,  7, 1, 148, 1, 18, 18}, // #863
3960  {DBGFIELD("CSINVWr")            1, false, false,  7, 1, 148, 1, 18, 18}, // #864
3961  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false,  7, 1, 149, 1, 18, 9}, // #865
3962  {DBGFIELD("LSLVWr")             1, false, false,  7, 1, 149, 1, 18, 9}, // #866
3963  {DBGFIELD("BFMWri")             1, false, false,  7, 1, 149, 1, 18, 9}, // #867
3964  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false,  7, 1, 149, 1, 18, 9}, // #868
3965  {DBGFIELD("CLSWr_CLZWr")        1, false, false,  7, 1, 148, 1, 18, 9}, // #869
3966  {DBGFIELD("RBITWr")             1, false, false,  7, 1, 148, 1, 18, 9}, // #870
3967  {DBGFIELD("REVWr_REV16Wr")      1, false, false,  7, 1, 148, 1, 18, 9}, // #871
3968  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
3969  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
3970  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
3971  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
3972  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
3973  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
3974  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
3975  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
3976  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
3977  {DBGFIELD("BRK")                1, false, false, 10, 1,  5, 1,  0, 0}, // #881
3978  {DBGFIELD("CBNZW_CBNZX")        1, false, false, 10, 1,  5, 1,  0, 0}, // #882
3979  {DBGFIELD("TBNZW")              1, false, false, 10, 1,  5, 1,  0, 0}, // #883
3980  {DBGFIELD("TBNZX")              1, false, false, 10, 1,  5, 1,  0, 0}, // #884
3981  {DBGFIELD("BR")                 1, false, false, 10, 1,  5, 1,  0, 0}, // #885
3982  {DBGFIELD("ADCWr")              1, false, false,  7, 1, 148, 1, 18, 18}, // #886
3983  {DBGFIELD("ADCXr")              1, false, false,  7, 1, 148, 1, 18, 18}, // #887
3984  {DBGFIELD("ASRVWr_RORVWr")      1, false, false,  7, 1, 149, 1, 18, 9}, // #888
3985  {DBGFIELD("ASRVXr_RORVXr")      1, false, false,  7, 1, 149, 1, 18, 9}, // #889
3986  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
3987  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #891
3988  {DBGFIELD("LDNPWi")             2, false, false, 98, 1, 11, 2,  0, 0}, // #892
3989  {DBGFIELD("LDPWi")              2, false, false, 98, 1, 11, 2,  0, 0}, // #893
3990  {DBGFIELD("LDRWl")              1, false, false, 24, 1,  8, 1,  0, 0}, // #894
3991  {DBGFIELD("LDTRBi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #895
3992  {DBGFIELD("LDTRHi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #896
3993  {DBGFIELD("LDTRWi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #897
3994  {DBGFIELD("LDTRSBWi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #898
3995  {DBGFIELD("LDTRSBXi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #899
3996  {DBGFIELD("LDTRSHWi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #900
3997  {DBGFIELD("LDTRSHXi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #901
3998  {DBGFIELD("LDPWpre")            3, false, false, 98, 1, 151, 3,  0, 0}, // #902
3999  {DBGFIELD("LDRWpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #903
4000  {DBGFIELD("LDRXpre")            2, false, false, 24, 1, 152, 2,  0, 0}, // #904
4001  {DBGFIELD("LDRSBWpre")          2, false, false, 24, 1, 152, 2,  0, 0}, // #905
4002  {DBGFIELD("LDRSBXpre")          2, false, false, 24, 1, 152, 2,  0, 0}, // #906
4003  {DBGFIELD("LDRSBWpost")         2, false, false, 24, 1, 152, 2,  0, 0}, // #907
4004  {DBGFIELD("LDRSBXpost")         2, false, false, 24, 1, 152, 2,  0, 0}, // #908
4005  {DBGFIELD("LDRSHWpre")          2, false, false, 24, 1, 152, 2,  0, 0}, // #909
4006  {DBGFIELD("LDRSHXpre")          2, false, false, 24, 1, 152, 2,  0, 0}, // #910
4007  {DBGFIELD("LDRSHWpost")         2, false, false, 24, 1, 152, 2,  0, 0}, // #911
4008  {DBGFIELD("LDRSHXpost")         2, false, false, 24, 1, 152, 2,  0, 0}, // #912
4009  {DBGFIELD("LDRBBpre")           2, false, false, 24, 1, 152, 2,  0, 0}, // #913
4010  {DBGFIELD("LDRBBpost")          2, false, false, 24, 1, 152, 2,  0, 0}, // #914
4011  {DBGFIELD("LDRHHpre")           2, false, false, 24, 1, 152, 2,  0, 0}, // #915
4012  {DBGFIELD("LDRHHpost")          2, false, false, 24, 1, 152, 2,  0, 0}, // #916
4013  {DBGFIELD("LDPWpost")           3, false, false, 98, 1, 151, 3,  0, 0}, // #917
4014  {DBGFIELD("LDPXpost")           3, false, false, 98, 1, 151, 3,  0, 0}, // #918
4015  {DBGFIELD("LDRWpost")           2, false, false, 24, 1, 152, 2,  0, 0}, // #919
4016  {DBGFIELD("LDRWroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #920
4017  {DBGFIELD("LDRXroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #921
4018  {DBGFIELD("LDRWroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #922
4019  {DBGFIELD("LDRXroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #923
4020  {DBGFIELD("LDURBBi")            1, false, false, 24, 1,  8, 1,  0, 0}, // #924
4021  {DBGFIELD("LDURHHi")            1, false, false, 24, 1,  8, 1,  0, 0}, // #925
4022  {DBGFIELD("LDURXi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #926
4023  {DBGFIELD("LDURSBWi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #927
4024  {DBGFIELD("LDURSBXi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #928
4025  {DBGFIELD("LDURSHWi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #929
4026  {DBGFIELD("LDURSHXi")           1, false, false, 24, 1,  8, 1,  0, 0}, // #930
4027  {DBGFIELD("PRFMl")              1, false, false, 24, 1,  8, 1,  0, 0}, // #931
4028  {DBGFIELD("STURBi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #932
4029  {DBGFIELD("STURBBi")            1, false, false, 24, 1,  8, 1,  0, 0}, // #933
4030  {DBGFIELD("STURDi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #934
4031  {DBGFIELD("STURHi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #935
4032  {DBGFIELD("STURHHi")            1, false, false, 24, 1,  8, 1,  0, 0}, // #936
4033  {DBGFIELD("STURWi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #937
4034  {DBGFIELD("STTRBi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #938
4035  {DBGFIELD("STTRHi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #939
4036  {DBGFIELD("STTRWi")             1, false, false, 24, 1,  8, 1,  0, 0}, // #940
4037  {DBGFIELD("STRBui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #941
4038  {DBGFIELD("STRDui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #942
4039  {DBGFIELD("STRHui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #943
4040  {DBGFIELD("STRXui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #944
4041  {DBGFIELD("STRWui")             1, false, false, 24, 1,  8, 1,  0, 0}, // #945
4042  {DBGFIELD("STRBBroW")           1, false, false, 24, 1,  8, 1,  0, 1}, // #946
4043  {DBGFIELD("STRBBroX")           1, false, false, 24, 1,  8, 1,  0, 1}, // #947
4044  {DBGFIELD("STRDroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #948
4045  {DBGFIELD("STRDroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #949
4046  {DBGFIELD("STRWroW")            1, false, false, 24, 1,  8, 1,  0, 1}, // #950
4047  {DBGFIELD("STRWroX")            1, false, false, 24, 1,  8, 1,  0, 1}, // #951
4048  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
4049  {DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #953
4050  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #954
4051  {DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #955
4052  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
4053  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
4054  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #958
4055  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
4056  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #960
4057  {DBGFIELD("FCMGEv1i16rz")       1, false, false,  2, 1, 21, 1,  0, 0}, // #961
4058  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false,  2, 1, 21, 1,  0, 0}, // #962
4059  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #963
4060  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #964
4061  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
4062  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
4063  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
4064  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
4065  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
4066  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
4067  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 24, 1,  8, 1,  0, 0}, // #971
4068  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
4069  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
4070  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
4071  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
4072  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
4073  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
4074  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
4075  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
4076  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
4077  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
4078  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
4079  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
4080  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
4081  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
4082  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
4083  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
4084  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
4085  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
4086  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
4087  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
4088  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
4089  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
4090  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
4091  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
4092  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
4093  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
4094  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
4095  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
4096  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
4097  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
4098  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
4099  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
4100  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
4101  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
4102  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
4103  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
4104  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
4105  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
4106  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
4107  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
4108  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
4109  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
4110  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 24, 1,  8, 1,  0, 0}, // #1014
4111  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 1, false, false,  7, 1, 174, 1, 63, 18}, // #1015
4112  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 1, false, false,  7, 1, 174, 1, 18, 18}, // #1016
4113  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
4114  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
4115  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 1, false, false,  7, 1, 175, 1, 63, 18}, // #1019
4116  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 1, false, false,  7, 1, 175, 1, 18, 18}, // #1020
4117  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
4118  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
4119  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
4120  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
4121  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
4122  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
4123  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
4124  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
4125  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
4126  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
4127  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
4128  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
4129  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
4130  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
4131  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
4132  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
4133  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
4134  {DBGFIELD("WriteST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1038
4135  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
4136  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
4137  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
4138  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
4139  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
4140  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
4141  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
4142  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
4143  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
4144  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
4145  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
4146  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
4147  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
4148  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
4149  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
4150  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
4151  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
4152  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
4153  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
4154  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
4155  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
4156  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
4157  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
4158  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
4159  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
4160  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
4161  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
4162  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
4163  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
4164  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
4165  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
4166  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
4167  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
4168  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
4169  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
4170  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
4171  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
4172  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
4173  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
4174  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
4175  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
4176  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
4177  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
4178  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
4179  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
4180  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
4181  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
4182  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
4183  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
4184  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
4185  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
4186  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
4187  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
4188  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
4189  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
4190  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
4191  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
4192  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
4193  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
4194  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
4195  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
4196  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
4197  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
4198  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
4199  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
4200  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
4201  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
4202  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
4203  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
4204  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
4205  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
4206}; // CortexA53ModelSchedClasses
4207
4208// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
4209static const llvm::MCSchedClassDesc CortexA57ModelSchedClasses[] = {
4210  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
4211  {DBGFIELD("WriteV")             1, false, false, 24, 1, 137, 1,  0, 0}, // #1
4212  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 10, 1,  5, 1,  1, 2}, // #2
4213  {DBGFIELD("WriteI_ReadI")       1, false, false, 10, 1,  5, 1,  0, 1}, // #3
4214  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false,  2, 1,  1, 1,  1, 2}, // #4
4215  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false,  2, 1,  1, 1,  1, 2}, // #5
4216  {DBGFIELD("WriteAdr")           1, false, false, 10, 1,  5, 1,  0, 0}, // #6
4217  {DBGFIELD("WriteI")             1, false, false, 10, 1,  5, 1,  0, 0}, // #7
4218  {DBGFIELD("WriteIS_ReadI")      1, false, false, 10, 1,  5, 1,  0, 1}, // #8
4219  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  5, 1,  0, 0}, // #9
4220  {DBGFIELD("WriteBr")            1, false, false,  7, 1,  5, 1,  0, 0}, // #10
4221  {DBGFIELD("WriteBrReg")         1, false, false,  7, 1,  5, 1,  0, 0}, // #11
4222  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
4223  {DBGFIELD("WriteBarrier")       1, false, false,  0, 0,  5, 1,  0, 0}, // #13
4224  {DBGFIELD("WriteExtr_ReadExtrHi") 1, false, false, 10, 1,  5, 1,  0, 1}, // #14
4225  {DBGFIELD("WriteF")             1, false, false, 24, 1, 137, 1,  0, 0}, // #15
4226  {DBGFIELD("WriteFCmp")          1, false, false, 24, 1, 137, 1,  0, 0}, // #16
4227  {DBGFIELD("WriteFCvt")          1, false, false, 24, 1, 10, 1,  0, 0}, // #17
4228  {DBGFIELD("WriteFDiv")          1, false, false, 109, 2,  9, 1,  0, 0}, // #18
4229  {DBGFIELD("WriteFMul")          1, false, false, 24, 1, 10, 1,  0, 0}, // #19
4230  {DBGFIELD("WriteFCopy")         1, false, false, 99, 1, 10, 1,  0, 0}, // #20
4231  {DBGFIELD("WriteFImm")          1, false, false, 24, 1, 137, 1,  0, 0}, // #21
4232  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  5, 1,  0, 0}, // #22
4233  {DBGFIELD("WriteST")            1, false, false, 97, 1,  5, 1,  0, 0}, // #23
4234  {DBGFIELD("WriteLD")            1, false, false, 99, 1,  8, 1,  0, 0}, // #24
4235  {DBGFIELD("WriteLD_WriteLDHi")  2, false, false, 99, 1, 11, 2,  0, 0}, // #25
4236  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 111, 2, 13, 3,  0, 0}, // #26
4237  {DBGFIELD("WriteLD_WriteAdr")   2, false, false, 111, 2, 14, 2,  0, 0}, // #27
4238  {DBGFIELD("WriteLDIdx_ReadAdrBase") 2, false, false, 111, 2,  8, 1,  0, 1}, // #28
4239  {DBGFIELD("WriteLDAdr")         2, false, false, 111, 2, 10, 1,  0, 0}, // #29
4240  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false,  2, 1, 176, 1, 81, 4}, // #30
4241  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false,  2, 1, 17, 1, 81, 4}, // #31
4242  {DBGFIELD("WriteImm")           1, false, false, 10, 1,  5, 1,  0, 0}, // #32
4243  {DBGFIELD("WriteAdrAdr")        2, false, false, 113, 1,  1, 1,  0, 0}, // #33
4244  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 114, 1, 177, 1,  1, 2}, // #34
4245  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 115, 1, 178, 1,  1, 2}, // #35
4246  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false,  2, 1, 17, 1,  1, 2}, // #36
4247  {DBGFIELD("WriteSTP")           1, false, false, 97, 1,  5, 1,  0, 0}, // #37
4248  {DBGFIELD("WriteAdr_WriteSTP")  2, false, false, 116, 2, 179, 2,  0, 0}, // #38
4249  {DBGFIELD("WriteAdr_WriteST")   2, false, false, 116, 2, 179, 2,  0, 0}, // #39
4250  {DBGFIELD("WriteSTX")           2, false, false, 118, 2, 10, 1,  0, 0}, // #40
4251  {DBGFIELD("WriteSTIdx_ReadAdrBase") 2, false, false, 116, 2,  5, 1,  0, 1}, // #41
4252  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 120, 3, 181, 4,  0, 0}, // #42
4253  {DBGFIELD("COPY")               1, false, false, 10, 1,  5, 1,  0, 0}, // #43
4254  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 99, 1, 10, 1,  0, 0}, // #44
4255  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 2, false, false, 123, 2, 26, 1,  0, 0}, // #45
4256  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 99, 1, 10, 1,  0, 0}, // #46
4257  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 125, 1, 21, 1,  0, 0}, // #47
4258  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 126, 1, 31, 1,  0, 0}, // #48
4259  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 127, 1, 26, 1,  0, 0}, // #49
4260  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 2, false, false, 111, 2, 39, 2,  0, 0}, // #50
4261  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 3, false, false, 128, 3, 111, 2,  0, 0}, // #51
4262  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 111, 2, 39, 2,  0, 0}, // #52
4263  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 131, 2, 21, 2,  0, 0}, // #53
4264  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 133, 2, 37, 2,  0, 0}, // #54
4265  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 135, 2, 111, 2,  0, 0}, // #55
4266  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 2, false, false, 123, 2, 26, 1,  0, 0}, // #56
4267  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 3, false, false, 137, 2, 26, 1,  0, 0}, // #57
4268  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 123, 2, 26, 1,  0, 0}, // #58
4269  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 125, 1, 21, 1,  0, 0}, // #59
4270  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 3, false, false, 128, 3, 111, 2,  0, 0}, // #60
4271  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 4, false, false, 139, 3, 111, 2,  0, 0}, // #61
4272  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 128, 3, 111, 2,  0, 0}, // #62
4273  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 131, 2, 21, 2,  0, 0}, // #63
4274  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 2, false, false, 125, 1, 21, 1,  0, 0}, // #64
4275  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 5, false, false, 142, 2, 74, 1,  0, 0}, // #65
4276  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 7, false, false, 144, 2, 117, 1,  0, 0}, // #66
4277  {DBGFIELD("LD3Threev2d")        4, false, false, 127, 1, 26, 1,  0, 0}, // #67
4278  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 3, false, false, 131, 2, 21, 2,  0, 0}, // #68
4279  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 6, false, false, 146, 3, 83, 2,  0, 0}, // #69
4280  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 8, false, false, 149, 3, 185, 2,  0, 0}, // #70
4281  {DBGFIELD("LD3Threev2d_POST")   5, false, false, 135, 2, 111, 2,  0, 0}, // #71
4282  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 5, false, false, 142, 2, 74, 1,  0, 0}, // #72
4283  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 6, false, false, 152, 2, 74, 1,  0, 0}, // #73
4284  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 8, false, false, 154, 2, 187, 1,  0, 0}, // #74
4285  {DBGFIELD("LD4Fourv2d")         4, false, false, 127, 1, 26, 1,  0, 0}, // #75
4286  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 6, false, false, 146, 3, 83, 2,  0, 0}, // #76
4287  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 7, false, false, 156, 3, 83, 2,  0, 0}, // #77
4288  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 9, false, false, 159, 3, 188, 2,  0, 0}, // #78
4289  {DBGFIELD("LD4Fourv2d_POST")    5, false, false, 135, 2, 111, 2,  0, 0}, // #79
4290  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 162, 2, 137, 1,  0, 0}, // #80
4291  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 2, false, false, 27, 1,  1, 1,  0, 0}, // #81
4292  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 4, false, false, 164, 1,  8, 1,  0, 0}, // #82
4293  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 6, false, false, 165, 1, 21, 1,  0, 0}, // #83
4294  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 8, false, false, 166, 1, 26, 1,  0, 0}, // #84
4295  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 3, false, false, 167, 3, 190, 2,  0, 0}, // #85
4296  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 3, false, false, 170, 2, 192, 2,  0, 0}, // #86
4297  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 5, false, false, 172, 2, 14, 2,  0, 0}, // #87
4298  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 7, false, false, 174, 2, 21, 2,  0, 0}, // #88
4299  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 9, false, false, 176, 2, 111, 2,  0, 0}, // #89
4300  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 27, 1,  1, 1,  0, 0}, // #90
4301  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 3, false, false, 178, 2, 137, 1,  0, 0}, // #91
4302  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 4, false, false, 164, 1,  8, 1,  0, 0}, // #92
4303  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 3, false, false, 170, 2, 192, 2,  0, 0}, // #93
4304  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 180, 3, 190, 2,  0, 0}, // #94
4305  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 172, 2, 14, 2,  0, 0}, // #95
4306  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 3, false, false, 178, 2, 137, 1,  0, 0}, // #96
4307  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 10, false, false, 183, 2, 21, 1,  0, 0}, // #97
4308  {DBGFIELD("ST3Threev2d")        6, false, false, 165, 1, 21, 1,  0, 0}, // #98
4309  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 4, false, false, 180, 3, 190, 2,  0, 0}, // #99
4310  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 11, false, false, 185, 3, 21, 2,  0, 0}, // #100
4311  {DBGFIELD("ST3Threev2d_POST")   7, false, false, 174, 2, 21, 2,  0, 0}, // #101
4312  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 3, false, false, 178, 2, 137, 1,  0, 0}, // #102
4313  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 12, false, false, 188, 2, 26, 1,  0, 0}, // #103
4314  {DBGFIELD("ST4Fourv2d")         8, false, false, 166, 1, 26, 1,  0, 0}, // #104
4315  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 4, false, false, 180, 3, 190, 2,  0, 0}, // #105
4316  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 13, false, false, 190, 3, 111, 2,  0, 0}, // #106
4317  {DBGFIELD("ST4Fourv2d_POST")    9, false, false, 176, 2, 111, 2,  0, 0}, // #107
4318  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #108
4319  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 24, 1, 137, 1,  0, 0}, // #109
4320  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
4321  {DBGFIELD("FDIVSrr")            1, false, false, 109, 2,  9, 1,  0, 0}, // #111
4322  {DBGFIELD("FDIVDrr")            1, false, false, 193, 2, 173, 1,  0, 0}, // #112
4323  {DBGFIELD("FDIVv2f32_FDIVv4f32") 2, false, false, 195, 2, 194, 1,  0, 0}, // #113
4324  {DBGFIELD("FDIVv2f64")          2, false, false, 197, 2, 195, 1,  0, 0}, // #114
4325  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 2, false, false, 98, 1, 74, 1,  0, 0}, // #115
4326  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 2, false, false, 98, 1, 74, 1,  0, 0}, // #116
4327  {DBGFIELD("BL")                 2, false, false,  9, 2,  5, 1,  0, 0}, // #117
4328  {DBGFIELD("BLR")                2, false, false,  9, 2,  1, 1,  0, 0}, // #118
4329  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
4330  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #120
4331  {DBGFIELD("EXTRWrri")           1, false, false, 10, 1,  5, 1,  0, 0}, // #121
4332  {DBGFIELD("EXTRXrri")           2, false, false, 10, 2, 137, 1,  0, 0}, // #122
4333  {DBGFIELD("BFMWri_BFMXri")      1, false, false,  2, 1,  1, 1,  0, 0}, // #123
4334  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 199, 2, 196, 1,  0, 0}, // #124
4335  {DBGFIELD("AESDrr_AESErr")      1, false, false, 199, 2, 196, 1,  0, 0}, // #125
4336  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 199, 2, 196, 1, 85, 1}, // #126
4337  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 199, 2, 196, 1, 85, 1}, // #127
4338  {DBGFIELD("SHA1SU0rrr")         2, false, false, 98, 1, 21, 1,  0, 0}, // #128
4339  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false, 199, 2, 196, 1,  0, 0}, // #129
4340  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 2, false, false, 201, 2, 21, 1,  0, 0}, // #130
4341  {DBGFIELD("SHA256SU0rr")        1, false, false, 199, 2, 196, 1,  0, 0}, // #131
4342  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 2, false, false, 201, 2, 21, 1,  0, 0}, // #132
4343  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 199, 2, 196, 1,  0, 0}, // #133
4344  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 123, 2, 26, 1,  0, 0}, // #134
4345  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 128, 3, 111, 2,  0, 0}, // #135
4346  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 2, false, false, 123, 2, 26, 1,  0, 0}, // #136
4347  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 3, false, false, 128, 3, 111, 2,  0, 0}, // #137
4348  {DBGFIELD("LD1Rv1d")            1, false, false, 99, 1, 10, 1,  0, 0}, // #138
4349  {DBGFIELD("LD1Rv1d_POST")       2, false, false, 111, 2, 39, 2,  0, 0}, // #139
4350  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 99, 1, 10, 1,  0, 0}, // #140
4351  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 111, 2, 39, 2,  0, 0}, // #141
4352  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 99, 1, 10, 1,  0, 0}, // #142
4353  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 111, 2, 39, 2,  0, 0}, // #143
4354  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 2, false, false, 125, 1, 21, 1,  0, 0}, // #144
4355  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 3, false, false, 131, 2, 21, 2,  0, 0}, // #145
4356  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 2, false, false, 125, 1, 21, 1,  0, 0}, // #146
4357  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 3, false, false, 131, 2, 21, 2,  0, 0}, // #147
4358  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 137, 2, 26, 1,  0, 0}, // #148
4359  {DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 139, 3, 111, 2,  0, 0}, // #149
4360  {DBGFIELD("LD2i32")             2, false, false, 125, 1, 21, 1,  0, 0}, // #150
4361  {DBGFIELD("LD2i32_POST")        3, false, false, 131, 2, 21, 2,  0, 0}, // #151
4362  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 123, 2, 26, 1,  0, 0}, // #152
4363  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 128, 3, 111, 2,  0, 0}, // #153
4364  {DBGFIELD("LD2Rv1d")            1, false, false, 99, 1, 10, 1,  0, 0}, // #154
4365  {DBGFIELD("LD2Rv1d_POST")       2, false, false, 111, 2, 39, 2,  0, 0}, // #155
4366  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 4, false, false, 203, 2, 74, 1,  0, 0}, // #156
4367  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 205, 3, 83, 2,  0, 0}, // #157
4368  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 208, 2, 74, 1,  0, 0}, // #158
4369  {DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 210, 3, 83, 2,  0, 0}, // #159
4370  {DBGFIELD("LD3i32")             3, false, false, 137, 2, 26, 1,  0, 0}, // #160
4371  {DBGFIELD("LD3i32_POST")        4, false, false, 139, 3, 111, 2,  0, 0}, // #161
4372  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 137, 2, 26, 1,  0, 0}, // #162
4373  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 139, 3, 111, 2,  0, 0}, // #163
4374  {DBGFIELD("LD3Rv1d")            2, false, false, 125, 1, 21, 1,  0, 0}, // #164
4375  {DBGFIELD("LD3Rv1d_POST")       3, false, false, 131, 2, 21, 2,  0, 0}, // #165
4376  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 4, false, false, 208, 2, 74, 1,  0, 0}, // #166
4377  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 210, 3, 83, 2,  0, 0}, // #167
4378  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 4, false, false, 203, 2, 74, 1,  0, 0}, // #168
4379  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 5, false, false, 205, 3, 83, 2,  0, 0}, // #169
4380  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 142, 2, 74, 1,  0, 0}, // #170
4381  {DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 146, 3, 83, 2,  0, 0}, // #171
4382  {DBGFIELD("LD4i32")             3, false, false, 137, 2, 26, 1,  0, 0}, // #172
4383  {DBGFIELD("LD4i32_POST")        4, false, false, 139, 3, 111, 2,  0, 0}, // #173
4384  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 3, false, false, 137, 2, 26, 1,  0, 0}, // #174
4385  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 4, false, false, 139, 3, 111, 2,  0, 0}, // #175
4386  {DBGFIELD("LD4Rv1d")            2, false, false, 125, 1, 21, 1,  0, 0}, // #176
4387  {DBGFIELD("LD4Rv1d_POST")       3, false, false, 131, 2, 21, 2,  0, 0}, // #177
4388  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 5, false, false, 142, 2, 74, 1,  0, 0}, // #178
4389  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 6, false, false, 146, 3, 83, 2,  0, 0}, // #179
4390  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 203, 2, 74, 1,  0, 0}, // #180
4391  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 205, 3, 83, 2,  0, 0}, // #181
4392  {DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 97, 1,  5, 1,  0, 0}, // #182
4393  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 116, 2, 179, 2,  0, 0}, // #183
4394  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 97, 1,  5, 1,  0, 0}, // #184
4395  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 116, 2, 179, 2,  0, 0}, // #185
4396  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 27, 1,  1, 1,  0, 0}, // #186
4397  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 170, 2, 192, 2,  0, 0}, // #187
4398  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 213, 1, 137, 1,  0, 0}, // #188
4399  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 214, 2, 190, 2,  0, 0}, // #189
4400  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 164, 1,  8, 1,  0, 0}, // #190
4401  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 172, 2, 14, 2,  0, 0}, // #191
4402  {DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 162, 2, 137, 1,  0, 0}, // #192
4403  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 3, false, false, 167, 3, 190, 2,  0, 0}, // #193
4404  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 6, false, false, 216, 2,  8, 1,  0, 0}, // #194
4405  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 7, false, false, 218, 3, 14, 2,  0, 0}, // #195
4406  {DBGFIELD("ST3i16_ST3i8")       2, false, false, 162, 2, 137, 1,  0, 0}, // #196
4407  {DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 167, 3, 190, 2,  0, 0}, // #197
4408  {DBGFIELD("ST3i32")             3, false, false, 213, 1, 137, 1,  0, 0}, // #198
4409  {DBGFIELD("ST3i32_POST")        4, false, false, 214, 2, 190, 2,  0, 0}, // #199
4410  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 5, false, false, 221, 2, 137, 1,  0, 0}, // #200
4411  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 6, false, false, 223, 3, 190, 2,  0, 0}, // #201
4412  {DBGFIELD("ST4i16_ST4i8")       2, false, false, 162, 2, 137, 1,  0, 0}, // #202
4413  {DBGFIELD("ST4i16_POST_ST4i8_POST") 3, false, false, 167, 3, 190, 2,  0, 0}, // #203
4414  {DBGFIELD("ST4i32")             4, false, false, 164, 1,  8, 1,  0, 0}, // #204
4415  {DBGFIELD("ST4i32_POST")        5, false, false, 172, 2, 14, 2,  0, 0}, // #205
4416  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 6, false, false, 216, 2,  8, 1,  0, 0}, // #206
4417  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 218, 3, 14, 2,  0, 0}, // #207
4418  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 226, 2,  8, 1,  0, 0}, // #208
4419  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 2, false, false, 228, 2, 10, 1,  0, 0}, // #209
4420  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 1, false, false, 226, 2,  8, 1,  0, 0}, // #210
4421  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 226, 2,  8, 1,  0, 0}, // #211
4422  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 226, 2,  8, 1,  0, 0}, // #212
4423  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 2, false, false, 230, 2, 31, 1,  0, 0}, // #213
4424  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 2, false, false, 228, 2, 26, 1,  0, 0}, // #214
4425  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 226, 2,  8, 1,  0, 0}, // #215
4426  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 2, false, false, 230, 2, 31, 1,  0, 0}, // #216
4427  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 2, false, false, 228, 2, 26, 1,  0, 0}, // #217
4428  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 199, 2, 10, 1,  0, 0}, // #218
4429  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 201, 2, 21, 1,  0, 0}, // #219
4430  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 199, 2, 10, 1,  0, 0}, // #220
4431  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 2, false, false, 201, 2, 21, 1,  0, 0}, // #221
4432  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 1, false, false, 199, 2, 197, 1, 86, 1}, // #222
4433  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 199, 2, 197, 1, 86, 1}, // #223
4434  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 1, false, false, 199, 2, 10, 1,  0, 0}, // #224
4435  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 199, 2, 10, 1,  0, 0}, // #225
4436  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 199, 2, 10, 1,  0, 0}, // #226
4437  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 199, 2, 196, 1,  0, 0}, // #227
4438  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 1, false, false, 226, 2, 198, 1, 87, 1}, // #228
4439  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 226, 2, 198, 1, 87, 1}, // #229
4440  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 1, false, false, 226, 2, 198, 1, 87, 1}, // #230
4441  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 226, 2, 198, 1, 87, 1}, // #231
4442  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 1, false, false, 226, 2,  8, 1,  0, 0}, // #232
4443  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #233
4444  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 1, false, false, 226, 2,  8, 1,  0, 0}, // #234
4445  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #235
4446  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 2, false, false, 228, 2,  8, 1,  0, 0}, // #236
4447  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 226, 2,  8, 1,  0, 0}, // #237
4448  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 228, 2, 10, 1,  0, 0}, // #238
4449  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #239
4450  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #240
4451  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 24, 1, 10, 1,  0, 0}, // #241
4452  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 3, false, false, 103, 1, 74, 1,  0, 0}, // #242
4453  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 24, 1, 10, 1,  0, 0}, // #243
4454  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 98, 1, 10, 1,  0, 0}, // #244
4455  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 3, false, false, 103, 1, 26, 1,  0, 0}, // #245
4456  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 24, 1, 10, 1,  0, 0}, // #246
4457  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 2, false, false, 98, 1, 10, 1,  0, 0}, // #247
4458  {DBGFIELD("FDIVv2f32")          1, false, false, 109, 2,  9, 1,  0, 0}, // #248
4459  {DBGFIELD("FSQRTv2f32")         1, false, false, 109, 2,  9, 1,  0, 0}, // #249
4460  {DBGFIELD("FSQRTv4f32")         2, false, false, 195, 2, 194, 1,  0, 0}, // #250
4461  {DBGFIELD("FSQRTv2f64")         2, false, false, 197, 2, 195, 1,  0, 0}, // #251
4462  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #252
4463  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #253
4464  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 24, 1, 10, 1,  0, 0}, // #254
4465  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 3, false, false, 103, 1, 74, 1,  0, 0}, // #255
4466  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 3, false, false, 103, 1, 117, 1,  0, 0}, // #256
4467  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 24, 1, 10, 1,  0, 0}, // #257
4468  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 2, false, false, 98, 1, 10, 1,  0, 0}, // #258
4469  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #259
4470  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 98, 1, 200, 1, 88, 2}, // #260
4471  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #261
4472  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #262
4473  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 98, 1, 137, 1,  0, 0}, // #263
4474  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 2, false, false, 123, 2, 26, 1,  0, 0}, // #264
4475  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 2, false, false, 123, 2, 26, 1,  0, 0}, // #265
4476  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 123, 2, 26, 1,  0, 0}, // #266
4477  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 1, false, false, 226, 2,  8, 1,  0, 0}, // #267
4478  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 226, 2,  8, 1,  0, 0}, // #268
4479  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #269
4480  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #270
4481  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 24, 1, 10, 1,  0, 0}, // #271
4482  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #272
4483  {DBGFIELD("FRSQRTEv2f64")       2, false, false, 98, 1, 10, 1,  0, 0}, // #273
4484  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #274
4485  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 24, 1, 74, 1,  0, 0}, // #275
4486  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 24, 1, 74, 1,  0, 0}, // #276
4487  {DBGFIELD("FRSQRTS64")          1, false, false, 24, 1, 74, 1,  0, 0}, // #277
4488  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 2, false, false, 98, 1, 74, 1,  0, 0}, // #278
4489  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 24, 1, 137, 1,  0, 0}, // #279
4490  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 2, false, false, 98, 1, 21, 1,  0, 0}, // #280
4491  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 103, 1, 74, 1,  0, 0}, // #281
4492  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 4, false, false, 104, 1, 201, 1,  0, 0}, // #282
4493  {DBGFIELD("TBLv16i8One_TBXv16i8One") 3, false, false, 103, 1, 21, 1,  0, 0}, // #283
4494  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 5, false, false, 105, 1, 74, 1,  0, 0}, // #284
4495  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 7, false, false, 232, 1, 201, 1,  0, 0}, // #285
4496  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 9, false, false, 233, 1, 202, 1,  0, 0}, // #286
4497  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 111, 2, 21, 1,  0, 0}, // #287
4498  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 123, 2, 26, 1,  0, 0}, // #288
4499  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 3, false, false, 103, 1, 21, 1,  0, 0}, // #289
4500  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #290
4501  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 24, 1, 203, 1, 90, 3}, // #291
4502  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 123, 2, 117, 1,  0, 0}, // #292
4503  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 2, false, false, 123, 2, 117, 1,  0, 0}, // #293
4504  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 2, false, false, 123, 2, 117, 1,  0, 0}, // #294
4505  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 2, false, false, 123, 2, 117, 1,  0, 0}, // #295
4506  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 2, false, false, 123, 2, 117, 1,  0, 0}, // #296
4507  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #297
4508  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #298
4509  {DBGFIELD("FSQRTDr")            1, false, false, 193, 2, 173, 1,  0, 0}, // #299
4510  {DBGFIELD("FSQRTSr")            1, false, false, 109, 2,  9, 1,  0, 0}, // #300
4511  {DBGFIELD("LDNPDi")             2, false, false, 99, 1, 10, 2,  0, 0}, // #301
4512  {DBGFIELD("LDNPQi")             3, false, false, 125, 1, 131, 2,  0, 0}, // #302
4513  {DBGFIELD("LDNPSi")             2, false, false, 99, 1, 10, 2,  0, 0}, // #303
4514  {DBGFIELD("LDPDi")              2, false, false, 99, 1, 10, 2,  0, 0}, // #304
4515  {DBGFIELD("LDPDpost")           3, false, false, 111, 2, 204, 3,  0, 0}, // #305
4516  {DBGFIELD("LDPDpre")            3, false, false, 111, 2, 204, 3,  0, 0}, // #306
4517  {DBGFIELD("LDPQi")              3, false, false, 125, 1, 131, 2,  0, 0}, // #307
4518  {DBGFIELD("LDPQpost")           4, false, false, 131, 2, 131, 3,  0, 0}, // #308
4519  {DBGFIELD("LDPQpre")            4, false, false, 131, 2, 131, 3,  0, 0}, // #309
4520  {DBGFIELD("LDPSWi")             4, false, false, 131, 2, 10, 2,  0, 0}, // #310
4521  {DBGFIELD("LDPSWpost")          5, false, false, 234, 2, 204, 3,  0, 0}, // #311
4522  {DBGFIELD("LDPSWpre")           5, false, false, 234, 2, 204, 3,  0, 0}, // #312
4523  {DBGFIELD("LDPSi")              2, false, false, 99, 1, 10, 2,  0, 0}, // #313
4524  {DBGFIELD("LDPSpost")           3, false, false, 111, 2, 204, 3,  0, 0}, // #314
4525  {DBGFIELD("LDPSpre")            3, false, false, 111, 2, 204, 3,  0, 0}, // #315
4526  {DBGFIELD("LDRBpost")           2, false, false, 111, 2, 39, 2,  0, 0}, // #316
4527  {DBGFIELD("LDRBpre")            2, false, false, 111, 2, 39, 2,  0, 0}, // #317
4528  {DBGFIELD("LDRBroW")            1, false, false, 99, 1, 10, 1,  0, 1}, // #318
4529  {DBGFIELD("LDRBroX")            1, false, false, 99, 1, 10, 1,  0, 1}, // #319
4530  {DBGFIELD("LDRBui")             1, false, false, 99, 1, 10, 1,  0, 0}, // #320
4531  {DBGFIELD("LDRDl")              1, false, false, 99, 1, 10, 1,  0, 0}, // #321
4532  {DBGFIELD("LDRDpost")           2, false, false, 111, 2, 39, 2,  0, 0}, // #322
4533  {DBGFIELD("LDRDpre")            2, false, false, 111, 2, 39, 2,  0, 0}, // #323
4534  {DBGFIELD("LDRDroW")            1, false, false, 99, 1, 10, 1,  0, 1}, // #324
4535  {DBGFIELD("LDRDroX")            1, false, false, 99, 1, 10, 1,  0, 1}, // #325
4536  {DBGFIELD("LDRDui")             1, false, false, 99, 1, 10, 1,  0, 0}, // #326
4537  {DBGFIELD("LDRHHroW")           2, false, false, 111, 2, 10, 1,  0, 1}, // #327
4538  {DBGFIELD("LDRHHroX")           2, false, false, 111, 2, 10, 1,  0, 1}, // #328
4539  {DBGFIELD("LDRHpost")           2, false, false, 111, 2, 39, 2,  0, 0}, // #329
4540  {DBGFIELD("LDRHpre")            2, false, false, 111, 2, 39, 2,  0, 0}, // #330
4541  {DBGFIELD("LDRHroW")            2, false, false, 111, 2, 21, 1,  0, 1}, // #331
4542  {DBGFIELD("LDRHroX")            2, false, false, 111, 2, 21, 1,  0, 1}, // #332
4543  {DBGFIELD("LDRHui")             1, false, false, 99, 1, 10, 1,  0, 0}, // #333
4544  {DBGFIELD("LDRQl")              1, false, false, 99, 1, 10, 1,  0, 0}, // #334
4545  {DBGFIELD("LDRQpost")           2, false, false, 111, 2, 39, 2,  0, 0}, // #335
4546  {DBGFIELD("LDRQpre")            2, false, false, 111, 2, 39, 2,  0, 0}, // #336
4547  {DBGFIELD("LDRQroW")            2, false, false, 111, 2, 21, 1,  0, 1}, // #337
4548  {DBGFIELD("LDRQroX")            2, false, false, 111, 2, 21, 1,  0, 1}, // #338
4549  {DBGFIELD("LDRQui")             1, false, false, 99, 1, 10, 1,  0, 0}, // #339
4550  {DBGFIELD("LDRSHWroW")          2, false, false, 111, 2, 10, 1,  0, 1}, // #340
4551  {DBGFIELD("LDRSHWroX")          2, false, false, 111, 2, 10, 1,  0, 1}, // #341
4552  {DBGFIELD("LDRSHXroW")          2, false, false, 111, 2, 10, 1,  0, 1}, // #342
4553  {DBGFIELD("LDRSHXroX")          2, false, false, 111, 2, 10, 1,  0, 1}, // #343
4554  {DBGFIELD("LDRSl")              1, false, false, 99, 1, 10, 1,  0, 0}, // #344
4555  {DBGFIELD("LDRSpost")           2, false, false, 111, 2, 39, 2,  0, 0}, // #345
4556  {DBGFIELD("LDRSpre")            2, false, false, 111, 2, 39, 2,  0, 0}, // #346
4557  {DBGFIELD("LDRSroW")            1, false, false, 99, 1, 10, 1,  0, 1}, // #347
4558  {DBGFIELD("LDRSroX")            1, false, false, 99, 1, 10, 1,  0, 1}, // #348
4559  {DBGFIELD("LDRSui")             1, false, false, 99, 1, 10, 1,  0, 0}, // #349
4560  {DBGFIELD("LDURBi")             1, false, false, 99, 1, 10, 1,  0, 0}, // #350
4561  {DBGFIELD("LDURDi")             1, false, false, 99, 1, 10, 1,  0, 0}, // #351
4562  {DBGFIELD("LDURHi")             1, false, false, 99, 1, 10, 1,  0, 0}, // #352
4563  {DBGFIELD("LDURQi")             1, false, false, 99, 1, 10, 1,  0, 0}, // #353
4564  {DBGFIELD("LDURSi")             1, false, false, 99, 1, 10, 1,  0, 0}, // #354
4565  {DBGFIELD("STNPDi")             2, false, false, 27, 1,  1, 1,  0, 0}, // #355
4566  {DBGFIELD("STNPQi")             5, false, false, 172, 2,  8, 1,  0, 0}, // #356
4567  {DBGFIELD("STNPXi")             2, false, false, 27, 1,  1, 1,  0, 0}, // #357
4568  {DBGFIELD("STPDi")              2, false, false, 27, 1,  1, 1,  0, 0}, // #358
4569  {DBGFIELD("STPDpost")           4, false, false, 236, 2, 58, 2,  0, 0}, // #359
4570  {DBGFIELD("STPDpre")            4, false, false, 236, 2, 58, 2,  0, 0}, // #360
4571  {DBGFIELD("STPQi")              5, false, false, 172, 2,  8, 1,  0, 0}, // #361
4572  {DBGFIELD("STPQpost")           6, false, false, 238, 2, 24, 2,  0, 0}, // #362
4573  {DBGFIELD("STPQpre")            7, false, false, 240, 2, 24, 2,  0, 0}, // #363
4574  {DBGFIELD("STPSpost")           3, false, false, 242, 2, 179, 2,  0, 0}, // #364
4575  {DBGFIELD("STPSpre")            3, false, false, 242, 2, 179, 2,  0, 0}, // #365
4576  {DBGFIELD("STPWpost")           3, false, false, 242, 2, 179, 2,  0, 0}, // #366
4577  {DBGFIELD("STPWpre")            3, false, false, 242, 2, 179, 2,  0, 0}, // #367
4578  {DBGFIELD("STPXi")              2, false, false, 27, 1,  1, 1,  0, 0}, // #368
4579  {DBGFIELD("STPXpost")           4, false, false, 236, 2, 58, 2,  0, 0}, // #369
4580  {DBGFIELD("STPXpre")            4, false, false, 236, 2, 58, 2,  0, 0}, // #370
4581  {DBGFIELD("STRBBpost")          3, false, false, 242, 2, 179, 2,  0, 1}, // #371
4582  {DBGFIELD("STRBBpre")           3, false, false, 242, 2, 179, 2,  0, 1}, // #372
4583  {DBGFIELD("STRBpost")           3, false, false, 242, 2, 179, 2,  0, 1}, // #373
4584  {DBGFIELD("STRBpre")            3, false, false, 242, 2, 179, 2,  0, 0}, // #374
4585  {DBGFIELD("STRBroW")            2, false, false, 116, 2, 137, 1,  0, 1}, // #375
4586  {DBGFIELD("STRBroX")            2, false, false, 116, 2, 137, 1,  0, 1}, // #376
4587  {DBGFIELD("STRDpost")           3, false, false, 242, 2, 179, 2,  0, 1}, // #377
4588  {DBGFIELD("STRDpre")            3, false, false, 242, 2, 179, 2,  0, 0}, // #378
4589  {DBGFIELD("STRHHpost")          3, false, false, 242, 2, 179, 2,  0, 1}, // #379
4590  {DBGFIELD("STRHHpre")           3, false, false, 242, 2, 179, 2,  0, 1}, // #380
4591  {DBGFIELD("STRHHroW")           2, false, false, 116, 2, 137, 1,  0, 1}, // #381
4592  {DBGFIELD("STRHHroX")           2, false, false, 116, 2, 137, 1,  0, 1}, // #382
4593  {DBGFIELD("STRHpost")           3, false, false, 242, 2, 179, 2,  0, 1}, // #383
4594  {DBGFIELD("STRHpre")            3, false, false, 242, 2, 179, 2,  0, 0}, // #384
4595  {DBGFIELD("STRHroW")            2, false, false, 116, 2, 137, 1,  0, 1}, // #385
4596  {DBGFIELD("STRHroX")            2, false, false, 116, 2, 137, 1,  0, 1}, // #386
4597  {DBGFIELD("STRQpost")           4, false, false, 236, 2, 58, 2,  0, 1}, // #387
4598  {DBGFIELD("STRQpre")            4, false, false, 236, 2, 58, 2,  0, 0}, // #388
4599  {DBGFIELD("STRQroW")            3, false, false, 170, 2,  1, 1,  0, 1}, // #389
4600  {DBGFIELD("STRQroX")            3, false, false, 170, 2,  1, 1,  0, 1}, // #390
4601  {DBGFIELD("STRQui")             3, false, false, 170, 2,  1, 1,  0, 0}, // #391
4602  {DBGFIELD("STRSpost")           3, false, false, 242, 2, 179, 2,  0, 1}, // #392
4603  {DBGFIELD("STRSpre")            3, false, false, 242, 2, 179, 2,  0, 0}, // #393
4604  {DBGFIELD("STRWpost")           3, false, false, 242, 2, 179, 2,  0, 1}, // #394
4605  {DBGFIELD("STRWpre")            3, false, false, 242, 2, 179, 2,  0, 1}, // #395
4606  {DBGFIELD("STRXpost")           3, false, false, 242, 2, 179, 2,  0, 1}, // #396
4607  {DBGFIELD("STRXpre")            3, false, false, 242, 2, 179, 2,  0, 1}, // #397
4608  {DBGFIELD("STURQi")             2, false, false, 27, 1,  1, 1,  0, 0}, // #398
4609  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false, 10, 1,  5, 1,  0, 0}, // #399
4610  {DBGFIELD("ANDWri_ANDXri")      1, false, false, 10, 1,  5, 1,  0, 1}, // #400
4611  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false, 10, 1,  5, 1,  1, 2}, // #401
4612  {DBGFIELD("ISB")                1, false, false,  0, 0,  5, 1,  0, 0}, // #402
4613  {DBGFIELD("ORRv16i8")           1, false, false, 24, 1, 137, 1,  0, 0}, // #403
4614  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 99, 1, 10, 1,  0, 0}, // #404
4615  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 24, 1, 137, 1,  0, 0}, // #405
4616  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #406
4617  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #407
4618  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #408
4619  {DBGFIELD("ADDVv16i8v")         2, false, false, 228, 2, 26, 1,  0, 0}, // #409
4620  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 226, 2,  8, 1,  0, 0}, // #410
4621  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 2, false, false, 230, 2, 31, 1,  0, 0}, // #411
4622  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #412
4623  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #413
4624  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #414
4625  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #415
4626  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #416
4627  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #417
4628  {DBGFIELD("FADDPv2i32p")        1, false, false, 24, 1, 10, 1,  0, 0}, // #418
4629  {DBGFIELD("FADDPv2i64p")        3, false, false, 103, 1, 74, 1,  0, 0}, // #419
4630  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 24, 1, 137, 1,  0, 0}, // #420
4631  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 24, 1, 10, 1,  0, 0}, // #421
4632  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 3, false, false, 103, 1, 74, 1,  0, 0}, // #422
4633  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false, 24, 1, 10, 1,  0, 0}, // #423
4634  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #424
4635  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #425
4636  {DBGFIELD("FADDPv4f32")         3, false, false, 103, 1, 74, 1,  0, 0}, // #426
4637  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 24, 1, 137, 1,  0, 0}, // #427
4638  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 24, 1, 10, 1,  0, 0}, // #428
4639  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
4640  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 98, 1, 10, 1,  0, 0}, // #430
4641  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #431
4642  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #432
4643  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
4644  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #434
4645  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #435
4646  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #436
4647  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #437
4648  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 226, 2, 198, 1, 87, 1}, // #438
4649  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 228, 2, 10, 1,  0, 0}, // #439
4650  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 226, 2,  8, 1,  0, 0}, // #440
4651  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 2, false, false, 228, 2, 10, 1,  0, 0}, // #441
4652  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 226, 2,  8, 1,  0, 0}, // #442
4653  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #443
4654  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #444
4655  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 201, 2, 21, 1,  0, 0}, // #445
4656  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 199, 2, 10, 1,  0, 0}, // #446
4657  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 199, 2, 10, 1,  0, 0}, // #447
4658  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 24, 1, 10, 1,  0, 0}, // #448
4659  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 2, false, false, 98, 1, 10, 1,  0, 0}, // #449
4660  {DBGFIELD("FMULX64")            1, false, false, 24, 1, 10, 1,  0, 0}, // #450
4661  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
4662  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 24, 1, 203, 1, 90, 3}, // #452
4663  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #453
4664  {DBGFIELD("FMLAv4f32")          1, false, false, 98, 1, 200, 1, 88, 2}, // #454
4665  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 98, 1, 200, 1, 88, 2}, // #455
4666  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #456
4667  {DBGFIELD("URSQRTEv2i32")       1, false, false, 24, 1, 10, 1,  0, 0}, // #457
4668  {DBGFIELD("URSQRTEv4i32")       2, false, false, 98, 1, 10, 1,  0, 0}, // #458
4669  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #459
4670  {DBGFIELD("FRECPSv2f32")        1, false, false, 24, 1, 74, 1,  0, 0}, // #460
4671  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #461
4672  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 24, 1, 74, 1,  0, 0}, // #462
4673  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #463
4674  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #464
4675  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 2, false, false, 123, 2, 117, 1,  0, 0}, // #465
4676  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false, 199, 2, 196, 1, 85, 1}, // #466
4677  {DBGFIELD("SHA256SU1rrr")       2, false, false, 201, 2, 21, 1,  0, 0}, // #467
4678  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 24, 1, 137, 1,  0, 0}, // #468
4679  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #469
4680  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #470
4681  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #471
4682  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 3, false, false, 103, 1, 117, 1,  0, 0}, // #472
4683  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #473
4684  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #474
4685  {DBGFIELD("FCVTXNv1i64")        3, false, false, 103, 1, 26, 1,  0, 0}, // #475
4686  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 24, 1, 10, 1,  0, 0}, // #476
4687  {DBGFIELD("FMULX32")            1, false, false, 24, 1, 10, 1,  0, 0}, // #477
4688  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 24, 1, 137, 1,  0, 0}, // #478
4689  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #479
4690  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #480
4691  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 3, false, false, 103, 1, 26, 1,  0, 0}, // #481
4692  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #482
4693  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 3, false, false, 103, 1, 26, 1,  0, 0}, // #483
4694  {DBGFIELD("FMULXv2f64_FMULv2f64") 2, false, false, 98, 1, 10, 1,  0, 0}, // #484
4695  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 3, false, false, 103, 1, 26, 1,  0, 0}, // #485
4696  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #486
4697  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #487
4698  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #488
4699  {DBGFIELD("ADDPv2i64p")         1, false, false, 24, 1, 137, 1,  0, 0}, // #489
4700  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #490
4701  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #491
4702  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #492
4703  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #493
4704  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #494
4705  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #495
4706  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 24, 1, 137, 1,  0, 0}, // #496
4707  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #497
4708  {DBGFIELD("SSHRd_USHRd")        1, false, false, 24, 1, 137, 1,  0, 0}, // #498
4709  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #499
4710  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #500
4711  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #501
4712  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #502
4713  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 24, 1, 137, 1,  0, 0}, // #503
4714  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #504
4715  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #505
4716  {DBGFIELD("SHLd")               1, false, false, 24, 1, 137, 1,  0, 0}, // #506
4717  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #507
4718  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 226, 2, 198, 1, 87, 1}, // #508
4719  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #509
4720  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 226, 2, 198, 1, 87, 1}, // #510
4721  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 226, 2,  8, 1,  0, 0}, // #511
4722  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #512
4723  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #513
4724  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #514
4725  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 226, 2,  8, 1,  0, 0}, // #515
4726  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #516
4727  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #517
4728  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #518
4729  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #519
4730  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #520
4731  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #521
4732  {DBGFIELD("ADDVv4i16v")         1, false, false, 226, 2,  8, 1,  0, 0}, // #522
4733  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #523
4734  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #524
4735  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #525
4736  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 199, 2, 10, 1,  0, 0}, // #526
4737  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 24, 1, 137, 1,  0, 0}, // #527
4738  {DBGFIELD("ADDVv4i32v")         2, false, false, 230, 2, 31, 1,  0, 0}, // #528
4739  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #529
4740  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #530
4741  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #531
4742  {DBGFIELD("ADDPv2i64")          1, false, false, 24, 1, 137, 1,  0, 0}, // #532
4743  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #533
4744  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #534
4745  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #535
4746  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #536
4747  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #537
4748  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #538
4749  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #539
4750  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #540
4751  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #541
4752  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #542
4753  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #543
4754  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #544
4755  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #545
4756  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #546
4757  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 2, false, false, 228, 2, 10, 1,  0, 0}, // #547
4758  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #548
4759  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #549
4760  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 199, 2, 10, 1,  0, 0}, // #550
4761  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 24, 1, 137, 1,  0, 0}, // #551
4762  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 2, false, false, 230, 2, 31, 1,  0, 0}, // #552
4763  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #553
4764  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 199, 2, 197, 1, 86, 1}, // #554
4765  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 199, 2, 197, 1, 86, 1}, // #555
4766  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 10, 1,  5, 1,  0, 1}, // #556
4767  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #557
4768  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #558
4769  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 10, 1,  5, 1,  0, 1}, // #559
4770  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #560
4771  {DBGFIELD("ADDXrr")             1, false, false, 10, 1,  5, 1,  1, 2}, // #561
4772  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #562
4773  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false, 10, 1,  5, 1,  0, 1}, // #563
4774  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #564
4775  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #565
4776  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #566
4777  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #567
4778  {DBGFIELD("EONWrr_EONXrr")      1, false, false, 10, 1,  5, 1,  1, 2}, // #568
4779  {DBGFIELD("EONWrs_EONXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #569
4780  {DBGFIELD("EORWri_EORXri")      1, false, false, 10, 1,  5, 1,  0, 1}, // #570
4781  {DBGFIELD("EORWrr_EORXrr")      1, false, false, 10, 1,  5, 1,  1, 2}, // #571
4782  {DBGFIELD("EORWrs_EORXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #572
4783  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false, 10, 1,  5, 1,  1, 2}, // #573
4784  {DBGFIELD("ORNWrs_ORNXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #574
4785  {DBGFIELD("ORRWri_ORRXri")      1, false, false, 10, 1,  5, 1,  0, 1}, // #575
4786  {DBGFIELD("ORRWrr")             1, false, false, 10, 1,  5, 1,  1, 2}, // #576
4787  {DBGFIELD("ORRWrs_ORRXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #577
4788  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #578
4789  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 10, 1,  5, 1,  0, 1}, // #579
4790  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #580
4791  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
4792  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 1, false, false,  2, 1,  1, 1,  1, 2}, // #582
4793  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 1, false, false,  2, 1,  1, 1,  1, 2}, // #583
4794  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 123, 2, 26, 1,  0, 0}, // #584
4795  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 24, 1, 137, 1,  0, 0}, // #585
4796  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 123, 2, 26, 1,  0, 0}, // #586
4797  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 24, 1, 137, 1,  0, 0}, // #587
4798  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 123, 2, 26, 1,  0, 0}, // #588
4799  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #589
4800  {DBGFIELD("EXTv8i8")            1, false, false, 24, 1, 137, 1,  0, 0}, // #590
4801  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #591
4802  {DBGFIELD("TBLv8i8One")         1, false, false, 24, 1, 137, 1,  0, 0}, // #592
4803  {DBGFIELD("NOTv8i8")            1, false, false, 24, 1, 137, 1,  0, 0}, // #593
4804  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #594
4805  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #595
4806  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #596
4807  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #597
4808  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 24, 1, 10, 1,  0, 0}, // #598
4809  {DBGFIELD("FRECPS32")           1, false, false, 24, 1, 74, 1,  0, 0}, // #599
4810  {DBGFIELD("EXTv16i8")           1, false, false, 24, 1, 137, 1,  0, 0}, // #600
4811  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #601
4812  {DBGFIELD("NOTv16i8")           1, false, false, 24, 1, 137, 1,  0, 0}, // #602
4813  {DBGFIELD("TBLv16i8One")        3, false, false, 103, 1, 21, 1,  0, 0}, // #603
4814  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #604
4815  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #605
4816  {DBGFIELD("TBLv8i8Two")         2, false, false, 98, 1, 21, 1,  0, 0}, // #606
4817  {DBGFIELD("FRECPSv4f32")        2, false, false, 98, 1, 74, 1,  0, 0}, // #607
4818  {DBGFIELD("TBLv16i8Two")        5, false, false, 105, 1, 74, 1,  0, 0}, // #608
4819  {DBGFIELD("TBLv8i8Three")       3, false, false, 103, 1, 74, 1,  0, 0}, // #609
4820  {DBGFIELD("TBLv16i8Three")      7, false, false, 232, 1, 201, 1,  0, 0}, // #610
4821  {DBGFIELD("TBLv8i8Four")        4, false, false, 104, 1, 201, 1,  0, 0}, // #611
4822  {DBGFIELD("TBLv16i8Four")       9, false, false, 233, 1, 202, 1,  0, 0}, // #612
4823  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 97, 1,  5, 1,  0, 0}, // #613
4824  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 2, false, false, 116, 2,  5, 1,  0, 1}, // #614
4825  {DBGFIELD("STPSi")              1, false, false, 97, 1,  5, 1,  0, 0}, // #615
4826  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 97, 1,  5, 1,  0, 0}, // #616
4827  {DBGFIELD("STNPSi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #617
4828  {DBGFIELD("B")                  1, false, false,  7, 1,  5, 1,  0, 0}, // #618
4829  {DBGFIELD("TCRETURNdi")         1, false, false,  7, 1,  5, 1,  0, 0}, // #619
4830  {DBGFIELD("BR_RET")             1, false, false,  7, 1,  5, 1,  0, 0}, // #620
4831  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false,  7, 1,  5, 1,  0, 0}, // #621
4832  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false,  7, 1,  5, 1,  0, 0}, // #622
4833  {DBGFIELD("Bcc")                1, false, false,  7, 1,  5, 1,  0, 0}, // #623
4834  {DBGFIELD("SHA1Hrr")            1, false, false, 199, 2, 196, 1,  0, 0}, // #624
4835  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 24, 1, 137, 1,  0, 0}, // #625
4836  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 24, 1, 137, 1,  0, 0}, // #626
4837  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 123, 2, 117, 1,  0, 0}, // #627
4838  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 24, 1, 137, 1,  0, 0}, // #628
4839  {DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 24, 1, 137, 1,  0, 0}, // #629
4840  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false, 24, 1, 10, 1,  0, 0}, // #630
4841  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #631
4842  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false, 24, 1, 10, 1,  0, 0}, // #632
4843  {DBGFIELD("FCVTSDr")            1, false, false, 24, 1, 10, 1,  0, 0}, // #633
4844  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 24, 1, 10, 1,  0, 0}, // #634
4845  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 99, 1, 10, 1,  0, 0}, // #635
4846  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false, 24, 1, 137, 1,  0, 0}, // #636
4847  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false, 24, 1, 137, 1,  0, 0}, // #637
4848  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 24, 1, 137, 1,  0, 0}, // #638
4849  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false, 24, 1, 137, 1,  0, 0}, // #639
4850  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false, 123, 2, 117, 1,  0, 0}, // #640
4851  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 2, false, false, 123, 2, 117, 1,  0, 0}, // #641
4852  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 99, 1,  8, 1,  0, 0}, // #642
4853  {DBGFIELD("PRFUMi")             1, false, false, 99, 1,  8, 1,  0, 0}, // #643
4854  {DBGFIELD("LDNPWi_LDNPXi")      2, false, false, 99, 1, 11, 2,  0, 0}, // #644
4855  {DBGFIELD("LDPWi_LDPXi")        2, false, false, 99, 1, 11, 2,  0, 0}, // #645
4856  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 111, 2, 13, 3,  0, 0}, // #646
4857  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 99, 1,  8, 1,  0, 0}, // #647
4858  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 111, 2, 14, 2,  0, 0}, // #648
4859  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 2, false, false, 111, 2,  8, 1,  0, 1}, // #649
4860  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 99, 1,  8, 1,  0, 0}, // #650
4861  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 99, 1,  8, 1,  0, 0}, // #651
4862  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 99, 1,  8, 1,  0, 0}, // #652
4863  {DBGFIELD("PRFMroW_PRFMroX")    1, false, false, 99, 1,  8, 1,  0, 0}, // #653
4864  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 99, 1,  8, 1,  0, 0}, // #654
4865  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 111, 2, 14, 2,  0, 0}, // #655
4866  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 2, false, false, 111, 2,  8, 1,  0, 1}, // #656
4867  {DBGFIELD("LDRSWl")             1, false, false, 99, 1,  8, 1,  0, 0}, // #657
4868  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 99, 1,  8, 1,  0, 0}, // #658
4869  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 99, 1,  8, 1,  0, 0}, // #659
4870  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 10, 1,  5, 1,  0, 1}, // #660
4871  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 10, 1,  5, 1,  0, 1}, // #661
4872  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false,  2, 1, 176, 1, 81, 4}, // #662
4873  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false,  2, 1, 176, 1, 81, 4}, // #663
4874  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false,  2, 1, 17, 1, 81, 4}, // #664
4875  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 114, 1, 177, 1,  1, 2}, // #665
4876  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 115, 1, 178, 1,  1, 2}, // #666
4877  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 10, 1,  5, 1,  0, 1}, // #667
4878  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false, 10, 1,  5, 1,  0, 1}, // #668
4879  {DBGFIELD("ADR_ADRP")           1, false, false, 10, 1,  5, 1,  0, 0}, // #669
4880  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false, 10, 1,  5, 1,  0, 0}, // #670
4881  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 10, 1,  5, 1,  0, 0}, // #671
4882  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 113, 1,  1, 1,  0, 0}, // #672
4883  {DBGFIELD("LOADgot")            2, false, false, 111, 2, 10, 1,  0, 0}, // #673
4884  {DBGFIELD("CLREX_DMB_DSB")      1, false, false,  0, 0,  5, 1,  0, 0}, // #674
4885  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  5, 1,  0, 0}, // #675
4886  {DBGFIELD("HINT")               1, false, false,  0, 0,  5, 1,  0, 0}, // #676
4887  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  0, 0,  5, 1,  0, 0}, // #677
4888  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  0, 0,  5, 1,  0, 0}, // #678
4889  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 99, 1,  8, 1,  0, 0}, // #679
4890  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 99, 1, 11, 2,  0, 0}, // #680
4891  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  5, 1,  0, 0}, // #681
4892  {DBGFIELD("DRPS")               1, false, false,  7, 1,  5, 1,  0, 0}, // #682
4893  {DBGFIELD("MSR")                1, false, false,  0, 0,  5, 1,  0, 0}, // #683
4894  {DBGFIELD("STNPWi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #684
4895  {DBGFIELD("ERET")               1, false, false,  7, 1,  5, 1,  0, 0}, // #685
4896  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
4897  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 97, 1,  5, 1,  0, 0}, // #687
4898  {DBGFIELD("STXPW_STXPX")        2, false, false, 118, 2, 10, 1,  0, 0}, // #688
4899  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 118, 2, 10, 1,  0, 0}, // #689
4900  {DBGFIELD("STLXPW_STLXPX")      2, false, false, 118, 2, 10, 1,  0, 0}, // #690
4901  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 118, 2, 10, 1,  0, 0}, // #691
4902  {DBGFIELD("STPWi")              1, false, false, 97, 1,  5, 1,  0, 0}, // #692
4903  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 97, 1,  5, 1,  0, 0}, // #693
4904  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 2, false, false, 116, 2,  5, 1,  0, 1}, // #694
4905  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 97, 1,  5, 1,  0, 0}, // #695
4906  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 97, 1,  5, 1,  0, 0}, // #696
4907  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #697
4908  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 2, false, false, 123, 2, 117, 1,  0, 0}, // #698
4909  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #699
4910  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 199, 2, 197, 1, 86, 1}, // #700
4911  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #701
4912  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #702
4913  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #703
4914  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 1, false, false, 226, 2,  8, 1,  0, 0}, // #704
4915  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 226, 2,  8, 1,  0, 0}, // #705
4916  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 2, false, false, 230, 2, 31, 1,  0, 0}, // #706
4917  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
4918  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
4919  {DBGFIELD("ADDv1i64")           1, false, false, 24, 1, 137, 1,  0, 0}, // #709
4920  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #710
4921  {DBGFIELD("ANDSWri")            1, false, false, 10, 1,  5, 1,  0, 1}, // #711
4922  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false, 10, 1,  5, 1,  1, 2}, // #712
4923  {DBGFIELD("ANDSWrs_ANDWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #713
4924  {DBGFIELD("ANDWri")             1, false, false, 10, 1,  5, 1,  0, 1}, // #714
4925  {DBGFIELD("BICSWrr_BICWrr")     1, false, false, 10, 1,  5, 1,  1, 2}, // #715
4926  {DBGFIELD("BICSWrs_BICWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #716
4927  {DBGFIELD("EONWrr")             1, false, false, 10, 1,  5, 1,  1, 2}, // #717
4928  {DBGFIELD("EONWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #718
4929  {DBGFIELD("EORWri")             1, false, false, 10, 1,  5, 1,  0, 1}, // #719
4930  {DBGFIELD("EORWrr")             1, false, false, 10, 1,  5, 1,  1, 2}, // #720
4931  {DBGFIELD("EORWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #721
4932  {DBGFIELD("ORNWrr")             1, false, false, 10, 1,  5, 1,  1, 2}, // #722
4933  {DBGFIELD("ORNWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #723
4934  {DBGFIELD("ORRWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #724
4935  {DBGFIELD("ORRWri")             1, false, false, 10, 1,  5, 1,  0, 1}, // #725
4936  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 10, 1,  5, 1,  0, 1}, // #726
4937  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #727
4938  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #728
4939  {DBGFIELD("CSELWr_CSELXr")      1, false, false, 10, 1,  5, 1,  1, 2}, // #729
4940  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 10, 1,  5, 1,  1, 2}, // #730
4941  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #731
4942  {DBGFIELD("FCMGEv2f32")         1, false, false, 24, 1, 10, 1,  0, 0}, // #732
4943  {DBGFIELD("FABDv2f32")          1, false, false, 24, 1, 10, 1,  0, 0}, // #733
4944  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 24, 1, 10, 1,  0, 0}, // #734
4945  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 24, 1, 10, 1,  0, 0}, // #735
4946  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 2, false, false, 123, 2, 117, 1,  0, 0}, // #736
4947  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 24, 1, 10, 1,  0, 0}, // #737
4948  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #738
4949  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #739
4950  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #740
4951  {DBGFIELD("FMLSv4f32")          1, false, false, 98, 1, 200, 1, 88, 2}, // #741
4952  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 98, 1, 200, 1, 88, 2}, // #742
4953  {DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 99, 1, 10, 1,  0, 0}, // #743
4954  {DBGFIELD("FMOVXDHighr")        1, false, false, 99, 1, 10, 1,  0, 0}, // #744
4955  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 24, 1, 10, 1,  0, 0}, // #745
4956  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 24, 1, 10, 1,  0, 0}, // #746
4957  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 24, 1, 10, 1,  0, 0}, // #747
4958  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 99, 1,  8, 1,  0, 0}, // #748
4959  {DBGFIELD("LDAXPW_LDAXPX")      2, false, false, 99, 1, 11, 2,  0, 0}, // #749
4960  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false, 10, 1,  5, 1,  0, 1}, // #750
4961  {DBGFIELD("MRS")                1, false, false,  0, 0,  5, 1,  0, 0}, // #751
4962  {DBGFIELD("MSRpstateImm4")      1, false, false,  0, 0,  5, 1,  0, 0}, // #752
4963  {DBGFIELD("RBITWr_RBITXr")      1, false, false, 10, 1,  5, 1,  0, 1}, // #753
4964  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #754
4965  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #755
4966  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 24, 1, 137, 1,  0, 0}, // #756
4967  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 3, false, false, 103, 1, 21, 1,  0, 0}, // #757
4968  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #758
4969  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #759
4970  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 3, false, false, 103, 1, 21, 1,  0, 0}, // #760
4971  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #761
4972  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false,  7, 1,  5, 1,  0, 0}, // #762
4973  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
4974  {DBGFIELD("ANDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #764
4975  {DBGFIELD("ANDXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #765
4976  {DBGFIELD("BICWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #766
4977  {DBGFIELD("BICXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #767
4978  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
4979  {DBGFIELD("ADDWri_ADDXri")      1, false, false, 10, 1,  5, 1,  0, 1}, // #769
4980  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 2, false, false, 111, 2,  8, 1,  0, 1}, // #770
4981  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 2, false, false, 111, 2,  8, 1,  0, 1}, // #771
4982  {DBGFIELD("PRFMroW")            1, false, false, 99, 1,  8, 1,  0, 0}, // #772
4983  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 2, false, false, 116, 2,  5, 1,  0, 1}, // #773
4984  {DBGFIELD("FABSDr_FABSSr")      1, false, false, 24, 1, 137, 1,  0, 0}, // #774
4985  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 24, 1, 10, 1,  0, 0}, // #775
4986  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false, 24, 1, 137, 1,  0, 0}, // #776
4987  {DBGFIELD("FRECPEv1f16")        1, false, false, 24, 1, 137, 1,  0, 0}, // #777
4988  {DBGFIELD("FRSQRTEv1f16")       1, false, false, 24, 1, 137, 1,  0, 0}, // #778
4989  {DBGFIELD("FRECPXv1f16")        1, false, false, 24, 1, 137, 1,  0, 0}, // #779
4990  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #780
4991  {DBGFIELD("FMOVDXr")            1, false, false, 99, 1, 10, 1,  0, 0}, // #781
4992  {DBGFIELD("STRDroW_STRSroW")    2, false, false, 116, 2,  5, 1,  0, 1}, // #782
4993  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #783
4994  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #784
4995  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #785
4996  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #786
4997  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 1, false, false, 199, 2, 197, 1, 86, 1}, // #787
4998  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #788
4999  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #789
5000  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 226, 2,  8, 1,  0, 0}, // #790
5001  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #791
5002  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 226, 2,  8, 1,  0, 0}, // #792
5003  {DBGFIELD("FABSv2f32")          1, false, false, 24, 1, 137, 1,  0, 0}, // #793
5004  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 24, 1, 137, 1,  0, 0}, // #794
5005  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #795
5006  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #796
5007  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
5008  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #798
5009  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #799
5010  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 24, 1, 137, 1,  0, 0}, // #800
5011  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 24, 1, 137, 1,  0, 0}, // #801
5012  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 24, 1, 137, 1,  0, 0}, // #802
5013  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 2, false, false, 123, 2, 117, 1,  0, 0}, // #803
5014  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false, 123, 2, 117, 1,  0, 0}, // #804
5015  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #805
5016  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #806
5017  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #807
5018  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 24, 1, 137, 1,  0, 0}, // #808
5019  {DBGFIELD("FMLAv2f32")          1, false, false, 24, 1, 199, 1, 88, 2}, // #809
5020  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #810
5021  {DBGFIELD("FMLSv2f32")          1, false, false, 24, 1, 199, 1, 88, 2}, // #811
5022  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 24, 1, 137, 1,  0, 0}, // #812
5023  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #813
5024  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #814
5025  {DBGFIELD("INSvi16lane_INSvi8lane") 2, false, false, 123, 2, 26, 1,  0, 0}, // #815
5026  {DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 123, 2, 26, 1,  0, 0}, // #816
5027  {DBGFIELD("FABSHr")             1, false, false, 24, 1, 137, 1,  0, 0}, // #817
5028  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false, 24, 1, 137, 1,  0, 0}, // #818
5029  {DBGFIELD("FADDPv2i16p")        1, false, false, 24, 1, 137, 1,  0, 0}, // #819
5030  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 24, 1, 137, 1,  0, 0}, // #820
5031  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 24, 1, 137, 1,  0, 0}, // #821
5032  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 24, 1, 137, 1,  0, 0}, // #822
5033  {DBGFIELD("FDIVHrr")            1, false, false, 109, 2,  9, 1,  0, 0}, // #823
5034  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 24, 1, 10, 1,  0, 0}, // #824
5035  {DBGFIELD("FMULX16")            1, false, false, 24, 1, 137, 1,  0, 0}, // #825
5036  {DBGFIELD("FNEGHr")             1, false, false, 24, 1, 137, 1,  0, 0}, // #826
5037  {DBGFIELD("FCSELHrrr")          1, false, false, 24, 1, 137, 1,  0, 0}, // #827
5038  {DBGFIELD("FSQRTHr")            1, false, false, 109, 2,  9, 1,  0, 0}, // #828
5039  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 24, 1, 10, 1,  0, 0}, // #829
5040  {DBGFIELD("FMOVHi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #830
5041  {DBGFIELD("FMOVHr")             1, false, false, 24, 1, 137, 1,  0, 0}, // #831
5042  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false, 99, 1, 10, 1,  0, 0}, // #832
5043  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false, 99, 1, 10, 1,  0, 0}, // #833
5044  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
5045  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 199, 2, 197, 1, 86, 1}, // #835
5046  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 199, 2, 197, 1, 86, 1}, // #836
5047  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 199, 2, 10, 1,  0, 0}, // #837
5048  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 199, 2, 10, 1,  0, 0}, // #838
5049  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #839
5050  {DBGFIELD("FDIVv4f16")          1, false, false, 24, 1, 137, 1,  0, 0}, // #840
5051  {DBGFIELD("FDIVv8f16")          1, false, false, 24, 1, 137, 1,  0, 0}, // #841
5052  {DBGFIELD("FSQRTv4f16")         1, false, false, 24, 1, 137, 1,  0, 0}, // #842
5053  {DBGFIELD("FSQRTv8f16")         1, false, false, 24, 1, 137, 1,  0, 0}, // #843
5054  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #844
5055  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #845
5056  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 24, 1, 137, 1,  0, 0}, // #846
5057  {DBGFIELD("PMULLv1i64")         1, false, false, 199, 2, 196, 1,  0, 0}, // #847
5058  {DBGFIELD("PMULLv8i8")          1, false, false, 199, 2, 10, 1,  0, 0}, // #848
5059  {DBGFIELD("SHA256H2rrr")        2, false, false, 201, 2, 21, 1,  0, 0}, // #849
5060  {DBGFIELD("TBNZW_TBZW")         1, false, false,  7, 1,  5, 1,  0, 0}, // #850
5061  {DBGFIELD("ADCSWr_ADCWr")       1, false, false, 10, 1,  5, 1,  1, 2}, // #851
5062  {DBGFIELD("SBCSWr_SBCWr")       1, false, false, 10, 1,  5, 1,  1, 2}, // #852
5063  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
5064  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
5065  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
5066  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
5067  {DBGFIELD("ADDSWrx_ADDWrx")     1, false, false,  2, 1,  1, 1,  1, 2}, // #857
5068  {DBGFIELD("SUBSWrx_SUBWrx")     1, false, false,  2, 1,  1, 1,  1, 2}, // #858
5069  {DBGFIELD("ADDWri")             1, false, false, 10, 1,  5, 1,  0, 1}, // #859
5070  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false, 10, 1,  5, 1,  0, 1}, // #860
5071  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false, 10, 1,  5, 1,  1, 2}, // #861
5072  {DBGFIELD("CSELWr")             1, false, false, 10, 1,  5, 1,  1, 2}, // #862
5073  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false, 10, 1,  5, 1,  1, 2}, // #863
5074  {DBGFIELD("CSINVWr")            1, false, false, 10, 1,  5, 1,  1, 2}, // #864
5075  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 10, 1,  5, 1,  0, 1}, // #865
5076  {DBGFIELD("LSLVWr")             1, false, false, 10, 1,  5, 1,  0, 1}, // #866
5077  {DBGFIELD("BFMWri")             1, false, false,  2, 1,  1, 1,  0, 0}, // #867
5078  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false, 10, 1,  5, 1,  0, 1}, // #868
5079  {DBGFIELD("CLSWr_CLZWr")        1, false, false, 10, 1,  5, 1,  0, 1}, // #869
5080  {DBGFIELD("RBITWr")             1, false, false, 10, 1,  5, 1,  0, 1}, // #870
5081  {DBGFIELD("REVWr_REV16Wr")      1, false, false, 10, 1,  5, 1,  0, 1}, // #871
5082  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
5083  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
5084  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
5085  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
5086  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
5087  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
5088  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
5089  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
5090  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
5091  {DBGFIELD("BRK")                1, false, false,  0, 0,  5, 1,  0, 0}, // #881
5092  {DBGFIELD("CBNZW_CBNZX")        1, false, false,  7, 1,  5, 1,  0, 0}, // #882
5093  {DBGFIELD("TBNZW")              1, false, false,  7, 1,  5, 1,  0, 0}, // #883
5094  {DBGFIELD("TBNZX")              1, false, false,  7, 1,  5, 1,  0, 0}, // #884
5095  {DBGFIELD("BR")                 1, false, false,  7, 1,  5, 1,  0, 0}, // #885
5096  {DBGFIELD("ADCWr")              1, false, false, 10, 1,  5, 1,  1, 2}, // #886
5097  {DBGFIELD("ADCXr")              1, false, false, 10, 1,  5, 1,  1, 2}, // #887
5098  {DBGFIELD("ASRVWr_RORVWr")      1, false, false, 10, 1,  5, 1,  0, 1}, // #888
5099  {DBGFIELD("ASRVXr_RORVXr")      1, false, false, 10, 1,  5, 1,  0, 1}, // #889
5100  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
5101  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 199, 2, 196, 1,  0, 0}, // #891
5102  {DBGFIELD("LDNPWi")             2, false, false, 99, 1, 11, 2,  0, 0}, // #892
5103  {DBGFIELD("LDPWi")              2, false, false, 99, 1, 11, 2,  0, 0}, // #893
5104  {DBGFIELD("LDRWl")              1, false, false, 99, 1,  8, 1,  0, 0}, // #894
5105  {DBGFIELD("LDTRBi")             1, false, false, 99, 1,  8, 1,  0, 0}, // #895
5106  {DBGFIELD("LDTRHi")             1, false, false, 99, 1,  8, 1,  0, 0}, // #896
5107  {DBGFIELD("LDTRWi")             1, false, false, 99, 1,  8, 1,  0, 0}, // #897
5108  {DBGFIELD("LDTRSBWi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #898
5109  {DBGFIELD("LDTRSBXi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #899
5110  {DBGFIELD("LDTRSHWi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #900
5111  {DBGFIELD("LDTRSHXi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #901
5112  {DBGFIELD("LDPWpre")            3, false, false, 111, 2, 13, 3,  0, 0}, // #902
5113  {DBGFIELD("LDRWpre")            2, false, false, 111, 2, 14, 2,  0, 0}, // #903
5114  {DBGFIELD("LDRXpre")            2, false, false, 111, 2, 14, 2,  0, 0}, // #904
5115  {DBGFIELD("LDRSBWpre")          2, false, false, 111, 2, 14, 2,  0, 0}, // #905
5116  {DBGFIELD("LDRSBXpre")          2, false, false, 111, 2, 14, 2,  0, 0}, // #906
5117  {DBGFIELD("LDRSBWpost")         2, false, false, 111, 2, 14, 2,  0, 0}, // #907
5118  {DBGFIELD("LDRSBXpost")         2, false, false, 111, 2, 14, 2,  0, 0}, // #908
5119  {DBGFIELD("LDRSHWpre")          2, false, false, 111, 2, 14, 2,  0, 0}, // #909
5120  {DBGFIELD("LDRSHXpre")          2, false, false, 111, 2, 14, 2,  0, 0}, // #910
5121  {DBGFIELD("LDRSHWpost")         2, false, false, 111, 2, 14, 2,  0, 0}, // #911
5122  {DBGFIELD("LDRSHXpost")         2, false, false, 111, 2, 14, 2,  0, 0}, // #912
5123  {DBGFIELD("LDRBBpre")           2, false, false, 111, 2, 14, 2,  0, 0}, // #913
5124  {DBGFIELD("LDRBBpost")          2, false, false, 111, 2, 14, 2,  0, 0}, // #914
5125  {DBGFIELD("LDRHHpre")           2, false, false, 111, 2, 14, 2,  0, 0}, // #915
5126  {DBGFIELD("LDRHHpost")          2, false, false, 111, 2, 14, 2,  0, 0}, // #916
5127  {DBGFIELD("LDPWpost")           3, false, false, 111, 2, 13, 3,  0, 0}, // #917
5128  {DBGFIELD("LDPXpost")           3, false, false, 111, 2, 13, 3,  0, 0}, // #918
5129  {DBGFIELD("LDRWpost")           2, false, false, 111, 2, 14, 2,  0, 0}, // #919
5130  {DBGFIELD("LDRWroW")            2, false, false, 111, 2,  8, 1,  0, 1}, // #920
5131  {DBGFIELD("LDRXroW")            2, false, false, 111, 2,  8, 1,  0, 1}, // #921
5132  {DBGFIELD("LDRWroX")            2, false, false, 111, 2,  8, 1,  0, 1}, // #922
5133  {DBGFIELD("LDRXroX")            2, false, false, 111, 2,  8, 1,  0, 1}, // #923
5134  {DBGFIELD("LDURBBi")            1, false, false, 99, 1,  8, 1,  0, 0}, // #924
5135  {DBGFIELD("LDURHHi")            1, false, false, 99, 1,  8, 1,  0, 0}, // #925
5136  {DBGFIELD("LDURXi")             1, false, false, 99, 1,  8, 1,  0, 0}, // #926
5137  {DBGFIELD("LDURSBWi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #927
5138  {DBGFIELD("LDURSBXi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #928
5139  {DBGFIELD("LDURSHWi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #929
5140  {DBGFIELD("LDURSHXi")           1, false, false, 99, 1,  8, 1,  0, 0}, // #930
5141  {DBGFIELD("PRFMl")              1, false, false, 99, 1,  8, 1,  0, 0}, // #931
5142  {DBGFIELD("STURBi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #932
5143  {DBGFIELD("STURBBi")            1, false, false, 97, 1,  5, 1,  0, 0}, // #933
5144  {DBGFIELD("STURDi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #934
5145  {DBGFIELD("STURHi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #935
5146  {DBGFIELD("STURHHi")            1, false, false, 97, 1,  5, 1,  0, 0}, // #936
5147  {DBGFIELD("STURWi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #937
5148  {DBGFIELD("STTRBi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #938
5149  {DBGFIELD("STTRHi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #939
5150  {DBGFIELD("STTRWi")             1, false, false, 97, 1,  5, 1,  0, 0}, // #940
5151  {DBGFIELD("STRBui")             1, false, false, 97, 1,  5, 1,  0, 0}, // #941
5152  {DBGFIELD("STRDui")             1, false, false, 97, 1,  5, 1,  0, 0}, // #942
5153  {DBGFIELD("STRHui")             1, false, false, 97, 1,  5, 1,  0, 0}, // #943
5154  {DBGFIELD("STRXui")             1, false, false, 97, 1,  5, 1,  0, 0}, // #944
5155  {DBGFIELD("STRWui")             1, false, false, 97, 1,  5, 1,  0, 0}, // #945
5156  {DBGFIELD("STRBBroW")           2, false, false, 116, 2,  5, 1,  0, 1}, // #946
5157  {DBGFIELD("STRBBroX")           2, false, false, 116, 2,  5, 1,  0, 1}, // #947
5158  {DBGFIELD("STRDroW")            2, false, false, 116, 2,  5, 1,  0, 1}, // #948
5159  {DBGFIELD("STRDroX")            2, false, false, 116, 2,  5, 1,  0, 1}, // #949
5160  {DBGFIELD("STRWroW")            2, false, false, 116, 2,  5, 1,  0, 1}, // #950
5161  {DBGFIELD("STRWroX")            2, false, false, 116, 2,  5, 1,  0, 1}, // #951
5162  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
5163  {DBGFIELD("FADDv2f64_FSUBv2f64") 2, false, false, 98, 1, 10, 1,  0, 0}, // #953
5164  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #954
5165  {DBGFIELD("FADDv4f32_FSUBv4f32") 2, false, false, 98, 1, 10, 1,  0, 0}, // #955
5166  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
5167  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
5168  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 24, 1, 137, 1,  0, 0}, // #958
5169  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
5170  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 24, 1, 137, 1,  0, 0}, // #960
5171  {DBGFIELD("FCMGEv1i16rz")       1, false, false, 24, 1, 137, 1,  0, 0}, // #961
5172  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 24, 1, 137, 1,  0, 0}, // #962
5173  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 24, 1, 137, 1,  0, 0}, // #963
5174  {DBGFIELD("UZP1v2i64_UZP2v2i64") 3, false, false, 103, 1, 21, 1,  0, 0}, // #964
5175  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
5176  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
5177  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
5178  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
5179  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
5180  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
5181  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 99, 1,  8, 1,  0, 0}, // #971
5182  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
5183  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
5184  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
5185  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
5186  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
5187  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
5188  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
5189  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
5190  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
5191  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
5192  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
5193  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
5194  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
5195  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
5196  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
5197  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
5198  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
5199  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
5200  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
5201  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
5202  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
5203  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
5204  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
5205  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
5206  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
5207  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
5208  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
5209  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
5210  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
5211  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
5212  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
5213  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
5214  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
5215  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
5216  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
5217  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
5218  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
5219  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
5220  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
5221  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
5222  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
5223  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
5224  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 97, 1,  5, 1,  0, 0}, // #1014
5225  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
5226  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
5227  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
5228  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
5229  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
5230  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
5231  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
5232  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
5233  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
5234  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
5235  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
5236  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
5237  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
5238  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
5239  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
5240  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
5241  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
5242  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
5243  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
5244  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
5245  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
5246  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
5247  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
5248  {DBGFIELD("WriteST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1038
5249  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
5250  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
5251  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
5252  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
5253  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
5254  {DBGFIELD("WriteI")             1, false, false, 10, 1,  5, 1,  0, 0}, // #1044
5255  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
5256  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
5257  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
5258  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
5259  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
5260  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
5261  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
5262  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
5263  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
5264  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
5265  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
5266  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
5267  {DBGFIELD("WriteISReg")         1, false, false,  2, 1,  1, 1,  0, 0}, // #1057
5268  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
5269  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
5270  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
5271  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
5272  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
5273  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
5274  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
5275  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
5276  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
5277  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
5278  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
5279  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
5280  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
5281  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
5282  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
5283  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
5284  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
5285  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
5286  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
5287  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
5288  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
5289  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
5290  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
5291  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
5292  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
5293  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
5294  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
5295  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
5296  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
5297  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
5298  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
5299  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
5300  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
5301  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
5302  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
5303  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
5304  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
5305  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
5306  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
5307  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
5308  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
5309  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
5310  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
5311  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
5312  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
5313  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
5314  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
5315  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
5316  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
5317  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
5318  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
5319  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
5320}; // CortexA57ModelSchedClasses
5321
5322// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
5323static const llvm::MCSchedClassDesc ExynosM3ModelSchedClasses[] = {
5324  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
5325  {DBGFIELD("WriteV")             1, false, false, 244, 1, 137, 1,  0, 0}, // #1
5326  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 97, 1,  5, 1,  1, 2}, // #2
5327  {DBGFIELD("WriteI_ReadI")       1, false, false, 97, 1,  5, 1,  0, 1}, // #3
5328  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 97, 1,  5, 1,  1, 2}, // #4
5329  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 97, 1,  5, 1,  1, 2}, // #5
5330  {DBGFIELD("WriteAdr")           0, false, false,  0, 0,  5, 1,  0, 0}, // #6
5331  {DBGFIELD("WriteI")             1, false, false, 97, 1,  5, 1,  0, 0}, // #7
5332  {DBGFIELD("WriteIS_ReadI")      1, false, false, 97, 1,  5, 1,  0, 1}, // #8
5333  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  5, 1,  0, 0}, // #9
5334  {DBGFIELD("WriteBr")            1, false, false,  0, 0,  0, 1,  0, 0}, // #10
5335  {DBGFIELD("WriteBrReg")         1, false, false, 245, 2,  5, 1,  0, 0}, // #11
5336  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
5337  {DBGFIELD("WriteBarrier")       1, false, false,  0, 0,  5, 1,  0, 0}, // #13
5338  {DBGFIELD("WriteExtr_ReadExtrHi") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #14
5339  {DBGFIELD("WriteF")             1, false, false, 12, 1,  1, 1,  0, 0}, // #15
5340  {DBGFIELD("WriteFCmp")          1, false, false, 247, 2,  1, 1,  0, 0}, // #16
5341  {DBGFIELD("WriteFCvt")          1, false, false, 15, 1, 137, 1,  0, 0}, // #17
5342  {DBGFIELD("WriteFDiv")          1, false, false, 249, 1, 201, 1,  0, 0}, // #18
5343  {DBGFIELD("WriteFMul")          1, false, false, 250, 1,  8, 1,  0, 0}, // #19
5344  {DBGFIELD("WriteFCopy")         1, false, false, 244, 1,  5, 1,  0, 0}, // #20
5345  {DBGFIELD("WriteFImm")          1, false, false, 244, 1,  5, 1,  0, 0}, // #21
5346  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  5, 1,  0, 0}, // #22
5347  {DBGFIELD("WriteST")            1, false, false, 251, 1,  5, 1,  0, 0}, // #23
5348  {DBGFIELD("WriteLD")            1, false, false, 252, 1,  8, 1,  0, 0}, // #24
5349  {DBGFIELD("WriteLD_WriteLDHi")  1, false, false, 252, 1, 11, 2,  0, 0}, // #25
5350  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 1, false, false, 252, 1, 13, 3,  0, 0}, // #26
5351  {DBGFIELD("WriteLD_WriteAdr")   1, false, false, 252, 1, 14, 2,  0, 0}, // #27
5352  {DBGFIELD("WriteLDIdx_ReadAdrBase") 2, false, false, 253, 3, 10, 1,  0, 1}, // #28
5353  {DBGFIELD("WriteLDAdr")         1, false, false, 252, 1, 10, 1,  0, 0}, // #29
5354  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 245, 2, 176, 1, 93, 4}, // #30
5355  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 256, 2, 154, 1, 93, 4}, // #31
5356  {DBGFIELD("WriteImm")           1, false, false, 97, 1,  5, 1,  0, 0}, // #32
5357  {DBGFIELD("WriteAdrAdr")        0, false, false,  0, 0,  1, 1,  0, 0}, // #33
5358  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 258, 3, 207, 1,  1, 2}, // #34
5359  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 261, 3, 208, 1,  1, 2}, // #35
5360  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 256, 2, 154, 1,  1, 2}, // #36
5361  {DBGFIELD("WriteSTP")           1, false, false, 251, 1,  5, 1,  0, 0}, // #37
5362  {DBGFIELD("WriteAdr_WriteSTP")  1, false, false, 251, 1, 179, 2,  0, 0}, // #38
5363  {DBGFIELD("WriteAdr_WriteST")   1, false, false, 251, 1, 179, 2,  0, 0}, // #39
5364  {DBGFIELD("WriteSTX")           1, false, false, 251, 1,  5, 1,  0, 0}, // #40
5365  {DBGFIELD("WriteSTIdx_ReadAdrBase") 2, false, false, 264, 3,  1, 1,  0, 1}, // #41
5366  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 267, 3, 181, 4,  0, 0}, // #42
5367  {DBGFIELD("COPY")               16382, false, false,  0, 0,  0, 0,  0, 0}, // #43
5368  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 270, 2, 21, 1,  0, 0}, // #44
5369  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 252, 1, 10, 1,  0, 0}, // #45
5370  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 252, 1, 10, 1,  0, 0}, // #46
5371  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 270, 1, 10, 1,  0, 0}, // #47
5372  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 272, 1, 21, 1,  0, 0}, // #48
5373  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 273, 1, 21, 1,  0, 0}, // #49
5374  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 274, 3, 21, 2,  0, 0}, // #50
5375  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 254, 2, 39, 2,  0, 0}, // #51
5376  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 254, 2, 39, 2,  0, 0}, // #52
5377  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 274, 2, 39, 2,  0, 0}, // #53
5378  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 277, 2, 21, 2,  0, 0}, // #54
5379  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 279, 2, 21, 2,  0, 0}, // #55
5380  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 281, 2, 21, 1,  0, 0}, // #56
5381  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 270, 1, 10, 1,  0, 0}, // #57
5382  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 283, 1, 117, 1,  0, 0}, // #58
5383  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 283, 1, 117, 1,  0, 0}, // #59
5384  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 284, 3, 21, 2,  0, 0}, // #60
5385  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 3, false, false, 274, 2, 39, 2,  0, 0}, // #61
5386  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 287, 2, 185, 2,  0, 0}, // #62
5387  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 287, 2, 185, 2,  0, 0}, // #63
5388  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 289, 2, 21, 1,  0, 0}, // #64
5389  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 272, 1, 21, 1,  0, 0}, // #65
5390  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 291, 1, 201, 1,  0, 0}, // #66
5391  {DBGFIELD("LD3Threev2d")        3, false, false, 291, 1, 201, 1,  0, 0}, // #67
5392  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 292, 3, 21, 2,  0, 0}, // #68
5393  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 4, false, false, 277, 2, 21, 2,  0, 0}, // #69
5394  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 4, false, false, 295, 2, 209, 2,  0, 0}, // #70
5395  {DBGFIELD("LD3Threev2d_POST")   4, false, false, 295, 2, 209, 2,  0, 0}, // #71
5396  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 297, 2, 31, 1,  0, 0}, // #72
5397  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 273, 1, 21, 1,  0, 0}, // #73
5398  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 4, false, false, 299, 1, 211, 1,  0, 0}, // #74
5399  {DBGFIELD("LD4Fourv2d")         4, false, false, 299, 1, 211, 1,  0, 0}, // #75
5400  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 7, false, false, 300, 3, 37, 2,  0, 0}, // #76
5401  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 279, 2, 21, 2,  0, 0}, // #77
5402  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 303, 2, 212, 2,  0, 0}, // #78
5403  {DBGFIELD("LD4Fourv2d_POST")    5, false, false, 303, 2, 212, 2,  0, 0}, // #79
5404  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 4, false, false, 305, 2, 31, 1,  0, 0}, // #80
5405  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 307, 2,  5, 1,  0, 0}, // #81
5406  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 309, 2,  1, 1,  0, 0}, // #82
5407  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 311, 2, 137, 1,  0, 0}, // #83
5408  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 313, 2,  8, 1,  0, 0}, // #84
5409  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 4, false, false, 305, 2, 37, 2,  0, 0}, // #85
5410  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 1, false, false, 307, 2, 179, 2,  0, 0}, // #86
5411  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 2, false, false, 309, 2, 192, 2,  0, 0}, // #87
5412  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 3, false, false, 311, 2, 190, 2,  0, 0}, // #88
5413  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 4, false, false, 313, 2, 14, 2,  0, 0}, // #89
5414  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 4, false, false, 305, 2, 31, 1,  0, 0}, // #90
5415  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 4, false, false, 305, 2, 31, 1,  0, 0}, // #91
5416  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 6, false, false, 315, 2, 26, 1,  0, 0}, // #92
5417  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 4, false, false, 305, 2, 37, 2,  0, 0}, // #93
5418  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 305, 2, 37, 2,  0, 0}, // #94
5419  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 315, 2, 111, 2,  0, 0}, // #95
5420  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 7, false, false, 317, 3, 202, 1,  0, 0}, // #96
5421  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 9, false, false, 320, 3, 214, 1,  0, 0}, // #97
5422  {DBGFIELD("ST3Threev2d")        9, false, false, 320, 3, 214, 1,  0, 0}, // #98
5423  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 7, false, false, 317, 3, 215, 2,  0, 0}, // #99
5424  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 9, false, false, 320, 3, 217, 2,  0, 0}, // #100
5425  {DBGFIELD("ST3Threev2d_POST")   9, false, false, 320, 3, 217, 2,  0, 0}, // #101
5426  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 7, false, false, 317, 3, 202, 1,  0, 0}, // #102
5427  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 9, false, false, 320, 3,  9, 1,  0, 0}, // #103
5428  {DBGFIELD("ST4Fourv2d")         9, false, false, 320, 3,  9, 1,  0, 0}, // #104
5429  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 7, false, false, 317, 3, 215, 2,  0, 0}, // #105
5430  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 9, false, false, 320, 3, 219, 2,  0, 0}, // #106
5431  {DBGFIELD("ST4Fourv2d_POST")    9, false, false, 320, 3, 219, 2,  0, 0}, // #107
5432  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 250, 1,  8, 1,  0, 0}, // #108
5433  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 244, 1, 137, 1,  0, 0}, // #109
5434  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
5435  {DBGFIELD("FDIVSrr")            1, false, false, 323, 1, 31, 1,  0, 0}, // #111
5436  {DBGFIELD("FDIVDrr")            1, false, false, 324, 1, 201, 1,  0, 0}, // #112
5437  {DBGFIELD("FDIVv2f32_FDIVv4f32") 2, false, false, 325, 5, 31, 1,  0, 0}, // #113
5438  {DBGFIELD("FDIVv2f64")          2, false, false, 330, 5, 201, 1,  0, 0}, // #114
5439  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #115
5440  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 250, 1, 221, 1, 97, 2}, // #116
5441  {DBGFIELD("BL")                 1, false, false, 97, 1,  5, 1,  0, 0}, // #117
5442  {DBGFIELD("BLR")                16382, false, false,  0, 0,  0, 0,  0, 0}, // #118
5443  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
5444  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false, 256, 2, 154, 1,  1, 2}, // #120
5445  {DBGFIELD("EXTRWrri")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #121
5446  {DBGFIELD("EXTRXrri")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #122
5447  {DBGFIELD("BFMWri_BFMXri")      1, false, false, 97, 1,  5, 1,  0, 1}, // #123
5448  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 335, 1, 222, 1,  0, 0}, // #124
5449  {DBGFIELD("AESDrr_AESErr")      1, false, false, 335, 1, 222, 1,  0, 0}, // #125
5450  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 335, 1, 222, 1, 99, 1}, // #126
5451  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 335, 1, 222, 1, 99, 1}, // #127
5452  {DBGFIELD("SHA1SU0rrr")         1, false, false, 336, 3,  5, 1,  0, 0}, // #128
5453  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false, 336, 3,  5, 1,  0, 0}, // #129
5454  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 336, 3,  5, 1,  0, 0}, // #130
5455  {DBGFIELD("SHA256SU0rr")        1, false, false, 336, 3,  5, 1,  0, 0}, // #131
5456  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 335, 1, 10, 1,  0, 0}, // #132
5457  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 245, 2,  1, 1,  0, 0}, // #133
5458  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 270, 2, 31, 1,  0, 0}, // #134
5459  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 274, 3, 37, 2,  0, 0}, // #135
5460  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 252, 1, 10, 1,  0, 0}, // #136
5461  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 254, 2, 39, 2,  0, 0}, // #137
5462  {DBGFIELD("LD1Rv1d")            1, false, false, 252, 1, 10, 1,  0, 0}, // #138
5463  {DBGFIELD("LD1Rv1d_POST")       2, false, false, 254, 2, 39, 2,  0, 0}, // #139
5464  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 252, 1, 10, 1,  0, 0}, // #140
5465  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 254, 2, 39, 2,  0, 0}, // #141
5466  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 270, 1, 10, 1,  0, 0}, // #142
5467  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 3, false, false, 274, 2, 39, 2,  0, 0}, // #143
5468  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 272, 1, 21, 1,  0, 0}, // #144
5469  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 4, false, false, 277, 2, 21, 2,  0, 0}, // #145
5470  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 273, 1, 21, 1,  0, 0}, // #146
5471  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 279, 2, 21, 2,  0, 0}, // #147
5472  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 281, 2, 31, 1,  0, 0}, // #148
5473  {DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 284, 3, 37, 2,  0, 0}, // #149
5474  {DBGFIELD("LD2i32")             3, false, false, 281, 2, 31, 1,  0, 0}, // #150
5475  {DBGFIELD("LD2i32_POST")        4, false, false, 284, 3, 37, 2,  0, 0}, // #151
5476  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 270, 1, 10, 1,  0, 0}, // #152
5477  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 274, 2, 39, 2,  0, 0}, // #153
5478  {DBGFIELD("LD2Rv1d")            2, false, false, 270, 1, 10, 1,  0, 0}, // #154
5479  {DBGFIELD("LD2Rv1d_POST")       3, false, false, 274, 2, 39, 2,  0, 0}, // #155
5480  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 283, 1, 117, 1,  0, 0}, // #156
5481  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 287, 2, 185, 2,  0, 0}, // #157
5482  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 339, 2, 31, 1,  0, 0}, // #158
5483  {DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 341, 3, 37, 2,  0, 0}, // #159
5484  {DBGFIELD("LD3i32")             4, false, false, 339, 2, 31, 1,  0, 0}, // #160
5485  {DBGFIELD("LD3i32_POST")        5, false, false, 341, 3, 37, 2,  0, 0}, // #161
5486  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 272, 1, 21, 1,  0, 0}, // #162
5487  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 277, 2, 21, 2,  0, 0}, // #163
5488  {DBGFIELD("LD3Rv1d")            3, false, false, 272, 1, 21, 1,  0, 0}, // #164
5489  {DBGFIELD("LD3Rv1d_POST")       4, false, false, 277, 2, 21, 2,  0, 0}, // #165
5490  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 272, 1, 21, 1,  0, 0}, // #166
5491  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 4, false, false, 277, 2, 21, 2,  0, 0}, // #167
5492  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 291, 1, 201, 1,  0, 0}, // #168
5493  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 4, false, false, 295, 2, 209, 2,  0, 0}, // #169
5494  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 344, 2, 74, 1,  0, 0}, // #170
5495  {DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 346, 3, 83, 2,  0, 0}, // #171
5496  {DBGFIELD("LD4i32")             5, false, false, 344, 2, 74, 1,  0, 0}, // #172
5497  {DBGFIELD("LD4i32_POST")        6, false, false, 346, 3, 83, 2,  0, 0}, // #173
5498  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 273, 1, 21, 1,  0, 0}, // #174
5499  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 279, 2, 21, 2,  0, 0}, // #175
5500  {DBGFIELD("LD4Rv1d")            4, false, false, 273, 1, 21, 1,  0, 0}, // #176
5501  {DBGFIELD("LD4Rv1d_POST")       5, false, false, 279, 2, 21, 2,  0, 0}, // #177
5502  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 273, 1, 21, 1,  0, 0}, // #178
5503  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 279, 2, 21, 2,  0, 0}, // #179
5504  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 299, 1, 211, 1,  0, 0}, // #180
5505  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 303, 2, 212, 2,  0, 0}, // #181
5506  {DBGFIELD("ST1i16_ST1i32_ST1i8") 4, false, false, 305, 2, 31, 1,  0, 0}, // #182
5507  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 4, false, false, 305, 2, 37, 2,  0, 0}, // #183
5508  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 307, 2,  5, 1,  0, 0}, // #184
5509  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 1, false, false, 307, 2, 179, 2,  0, 0}, // #185
5510  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 309, 2,  1, 1,  0, 0}, // #186
5511  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 2, false, false, 309, 2, 192, 2,  0, 0}, // #187
5512  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 311, 2, 137, 1,  0, 0}, // #188
5513  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 3, false, false, 311, 2, 190, 2,  0, 0}, // #189
5514  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 313, 2,  8, 1,  0, 0}, // #190
5515  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 4, false, false, 313, 2, 14, 2,  0, 0}, // #191
5516  {DBGFIELD("ST2i16_ST2i32_ST2i8") 4, false, false, 305, 2, 31, 1,  0, 0}, // #192
5517  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 4, false, false, 305, 2, 37, 2,  0, 0}, // #193
5518  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 6, false, false, 315, 2, 26, 1,  0, 0}, // #194
5519  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 315, 2, 111, 2,  0, 0}, // #195
5520  {DBGFIELD("ST3i16_ST3i8")       5, false, false, 349, 3, 211, 1,  0, 0}, // #196
5521  {DBGFIELD("ST3i16_POST_ST3i8_POST") 5, false, false, 349, 3, 212, 2,  0, 0}, // #197
5522  {DBGFIELD("ST3i32")             5, false, false, 349, 3, 211, 1,  0, 0}, // #198
5523  {DBGFIELD("ST3i32_POST")        5, false, false, 349, 3, 212, 2,  0, 0}, // #199
5524  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 7, false, false, 317, 3, 202, 1,  0, 0}, // #200
5525  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 7, false, false, 317, 3, 215, 2,  0, 0}, // #201
5526  {DBGFIELD("ST4i16_ST4i8")       7, false, false, 317, 3, 202, 1,  0, 0}, // #202
5527  {DBGFIELD("ST4i16_POST_ST4i8_POST") 7, false, false, 317, 3, 215, 2,  0, 0}, // #203
5528  {DBGFIELD("ST4i32")             7, false, false, 317, 3, 202, 1,  0, 0}, // #204
5529  {DBGFIELD("ST4i32_POST")        7, false, false, 317, 3, 215, 2,  0, 0}, // #205
5530  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 7, false, false, 317, 3, 202, 1,  0, 0}, // #206
5531  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 317, 3, 215, 2,  0, 0}, // #207
5532  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #208
5533  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #209
5534  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
5535  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #211
5536  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #212
5537  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #213
5538  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #214
5539  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #215
5540  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #216
5541  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #217
5542  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 336, 3, 137, 1,  0, 0}, // #218
5543  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 336, 3, 137, 1,  0, 0}, // #219
5544  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 352, 2, 223, 1, 100, 1}, // #220
5545  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 352, 2, 223, 1, 100, 1}, // #221
5546  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
5547  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 352, 2, 223, 1,  0, 0}, // #223
5548  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
5549  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 244, 1, 137, 1,  0, 0}, // #225
5550  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 336, 3, 137, 1,  0, 0}, // #226
5551  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 336, 3, 137, 1,  0, 0}, // #227
5552  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
5553  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #229
5554  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
5555  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #231
5556  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
5557  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #233
5558  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
5559  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #235
5560  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 354, 1,  5, 1,  0, 0}, // #236
5561  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 354, 1, 137, 1,  0, 0}, // #237
5562  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 354, 1, 137, 1,  0, 0}, // #238
5563  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 244, 1, 137, 1,  0, 0}, // #239
5564  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #240
5565  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 2, false, false, 355, 2, 137, 1,  0, 0}, // #241
5566  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 2, false, false, 355, 2, 137, 1,  0, 0}, // #242
5567  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #243
5568  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #244
5569  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 15, 1, 137, 1,  0, 0}, // #245
5570  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 15, 1,  1, 1,  0, 0}, // #246
5571  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 15, 1,  1, 1,  0, 0}, // #247
5572  {DBGFIELD("FDIVv2f32")          1, false, false, 323, 1, 31, 1,  0, 0}, // #248
5573  {DBGFIELD("FSQRTv2f32")         1, false, false, 357, 2, 172, 1,  0, 0}, // #249
5574  {DBGFIELD("FSQRTv4f32")         2, false, false, 359, 2, 172, 1,  0, 0}, // #250
5575  {DBGFIELD("FSQRTv2f64")         2, false, false, 361, 2, 224, 1,  0, 0}, // #251
5576  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #252
5577  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #253
5578  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 247, 2,  1, 1,  0, 0}, // #254
5579  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 247, 2,  1, 1,  0, 0}, // #255
5580  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 2, false, false, 363, 2, 10, 1,  0, 0}, // #256
5581  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 250, 1, 137, 1,  0, 0}, // #257
5582  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 250, 1, 137, 1,  0, 0}, // #258
5583  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #259
5584  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #260
5585  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 365, 3, 137, 1,  0, 0}, // #261
5586  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 365, 3, 137, 1,  0, 0}, // #262
5587  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #263
5588  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 1, false, false, 356, 1,  5, 1,  0, 0}, // #264
5589  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #265
5590  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 368, 2, 117, 1,  0, 0}, // #266
5591  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
5592  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #268
5593  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 15, 1,  8, 1,  0, 0}, // #269
5594  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 15, 1,  8, 1,  0, 0}, // #270
5595  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 15, 1,  8, 1,  0, 0}, // #271
5596  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 15, 1,  8, 1,  0, 0}, // #272
5597  {DBGFIELD("FRSQRTEv2f64")       1, false, false, 15, 1,  8, 1,  0, 0}, // #273
5598  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 15, 1,  8, 1,  0, 0}, // #274
5599  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #275
5600  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #276
5601  {DBGFIELD("FRSQRTS64")          1, false, false, 250, 1, 221, 1, 97, 2}, // #277
5602  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #278
5603  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 356, 1,  5, 1,  0, 0}, // #279
5604  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false, 356, 1,  5, 1,  0, 0}, // #280
5605  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false, 356, 1,  5, 1,  0, 0}, // #281
5606  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false, 356, 1,  5, 1,  0, 0}, // #282
5607  {DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 356, 1,  5, 1,  0, 0}, // #283
5608  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false, 356, 1,  5, 1,  0, 0}, // #284
5609  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false, 356, 1,  5, 1,  0, 0}, // #285
5610  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false, 356, 1,  5, 1,  0, 0}, // #286
5611  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 370, 2, 21, 1,  0, 0}, // #287
5612  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false, 356, 1, 137, 1,  0, 0}, // #288
5613  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 356, 1,  5, 1,  0, 0}, // #289
5614  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 12, 1,  1, 1,  0, 0}, // #290
5615  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 250, 1, 221, 1, 97, 2}, // #291
5616  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 15, 1, 137, 1,  0, 0}, // #292
5617  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 365, 3, 137, 1,  0, 0}, // #293
5618  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 365, 3,  8, 1,  0, 0}, // #294
5619  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
5620  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #296
5621  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 247, 2,  5, 1,  0, 0}, // #297
5622  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 365, 3, 137, 1,  0, 0}, // #298
5623  {DBGFIELD("FSQRTDr")            1, false, false, 372, 2, 224, 1,  0, 0}, // #299
5624  {DBGFIELD("FSQRTSr")            1, false, false, 357, 2, 172, 1,  0, 0}, // #300
5625  {DBGFIELD("LDNPDi")             1, false, false, 252, 1, 32, 2,  0, 0}, // #301
5626  {DBGFIELD("LDNPQi")             1, false, false, 270, 1, 32, 2,  0, 0}, // #302
5627  {DBGFIELD("LDNPSi")             1, false, false, 252, 1, 32, 2,  0, 0}, // #303
5628  {DBGFIELD("LDPDi")              1, false, false, 252, 1, 32, 2,  0, 0}, // #304
5629  {DBGFIELD("LDPDpost")           2, false, false, 253, 3, 43, 3,  0, 0}, // #305
5630  {DBGFIELD("LDPDpre")            2, false, false, 253, 3, 43, 3,  0, 0}, // #306
5631  {DBGFIELD("LDPQi")              1, false, false, 270, 1, 32, 2,  0, 0}, // #307
5632  {DBGFIELD("LDPQpost")           2, false, false, 374, 3, 43, 3,  0, 0}, // #308
5633  {DBGFIELD("LDPQpre")            2, false, false, 374, 3, 43, 3,  0, 0}, // #309
5634  {DBGFIELD("LDPSWi")             1, false, false, 252, 1, 11, 2,  0, 0}, // #310
5635  {DBGFIELD("LDPSWpost")          2, false, false, 253, 3, 13, 3,  0, 0}, // #311
5636  {DBGFIELD("LDPSWpre")           2, false, false, 253, 3, 13, 3,  0, 0}, // #312
5637  {DBGFIELD("LDPSi")              1, false, false, 252, 1, 32, 2,  0, 0}, // #313
5638  {DBGFIELD("LDPSpost")           2, false, false, 253, 3, 43, 3,  0, 0}, // #314
5639  {DBGFIELD("LDPSpre")            2, false, false, 253, 3, 43, 3,  0, 0}, // #315
5640  {DBGFIELD("LDRBpost")           1, false, false, 252, 1, 39, 2,  0, 0}, // #316
5641  {DBGFIELD("LDRBpre")            1, false, false, 252, 1, 39, 2,  0, 0}, // #317
5642  {DBGFIELD("LDRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #318
5643  {DBGFIELD("LDRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #319
5644  {DBGFIELD("LDRBui")             1, false, false, 252, 1, 10, 1,  0, 0}, // #320
5645  {DBGFIELD("LDRDl")              1, false, false, 252, 1, 10, 1,  0, 0}, // #321
5646  {DBGFIELD("LDRDpost")           1, false, false, 252, 1, 39, 2,  0, 0}, // #322
5647  {DBGFIELD("LDRDpre")            1, false, false, 252, 1, 39, 2,  0, 0}, // #323
5648  {DBGFIELD("LDRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #324
5649  {DBGFIELD("LDRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #325
5650  {DBGFIELD("LDRDui")             1, false, false, 252, 1, 10, 1,  0, 0}, // #326
5651  {DBGFIELD("LDRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #327
5652  {DBGFIELD("LDRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #328
5653  {DBGFIELD("LDRHpost")           1, false, false, 252, 1, 39, 2,  0, 0}, // #329
5654  {DBGFIELD("LDRHpre")            1, false, false, 252, 1, 39, 2,  0, 0}, // #330
5655  {DBGFIELD("LDRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #331
5656  {DBGFIELD("LDRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #332
5657  {DBGFIELD("LDRHui")             1, false, false, 252, 1, 10, 1,  0, 0}, // #333
5658  {DBGFIELD("LDRQl")              1, false, false, 252, 1, 10, 1,  0, 0}, // #334
5659  {DBGFIELD("LDRQpost")           1, false, false, 252, 1, 39, 2,  0, 0}, // #335
5660  {DBGFIELD("LDRQpre")            1, false, false, 252, 1, 39, 2,  0, 0}, // #336
5661  {DBGFIELD("LDRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #337
5662  {DBGFIELD("LDRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #338
5663  {DBGFIELD("LDRQui")             1, false, false, 252, 1, 10, 1,  0, 0}, // #339
5664  {DBGFIELD("LDRSHWroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #340
5665  {DBGFIELD("LDRSHWroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #341
5666  {DBGFIELD("LDRSHXroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #342
5667  {DBGFIELD("LDRSHXroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #343
5668  {DBGFIELD("LDRSl")              1, false, false, 252, 1, 10, 1,  0, 0}, // #344
5669  {DBGFIELD("LDRSpost")           1, false, false, 252, 1, 39, 2,  0, 0}, // #345
5670  {DBGFIELD("LDRSpre")            1, false, false, 252, 1, 39, 2,  0, 0}, // #346
5671  {DBGFIELD("LDRSroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #347
5672  {DBGFIELD("LDRSroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #348
5673  {DBGFIELD("LDRSui")             1, false, false, 252, 1, 10, 1,  0, 0}, // #349
5674  {DBGFIELD("LDURBi")             1, false, false, 252, 1, 10, 1,  0, 0}, // #350
5675  {DBGFIELD("LDURDi")             1, false, false, 252, 1, 10, 1,  0, 0}, // #351
5676  {DBGFIELD("LDURHi")             1, false, false, 252, 1, 10, 1,  0, 0}, // #352
5677  {DBGFIELD("LDURQi")             1, false, false, 252, 1, 10, 1,  0, 0}, // #353
5678  {DBGFIELD("LDURSi")             1, false, false, 252, 1, 10, 1,  0, 0}, // #354
5679  {DBGFIELD("STNPDi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #355
5680  {DBGFIELD("STNPQi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #356
5681  {DBGFIELD("STNPXi")             1, false, false, 251, 1,  5, 1,  0, 0}, // #357
5682  {DBGFIELD("STPDi")              1, false, false, 307, 2,  5, 1,  0, 0}, // #358
5683  {DBGFIELD("STPDpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #359
5684  {DBGFIELD("STPDpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #360
5685  {DBGFIELD("STPQi")              1, false, false, 307, 2,  5, 1,  0, 0}, // #361
5686  {DBGFIELD("STPQpost")           2, false, false, 377, 4, 179, 2,  0, 0}, // #362
5687  {DBGFIELD("STPQpre")            2, false, false, 377, 4, 179, 2,  0, 0}, // #363
5688  {DBGFIELD("STPSpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #364
5689  {DBGFIELD("STPSpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #365
5690  {DBGFIELD("STPWpost")           1, false, false, 251, 1, 179, 2,  0, 0}, // #366
5691  {DBGFIELD("STPWpre")            1, false, false, 251, 1, 179, 2,  0, 0}, // #367
5692  {DBGFIELD("STPXi")              1, false, false, 251, 1,  5, 1,  0, 0}, // #368
5693  {DBGFIELD("STPXpost")           1, false, false, 251, 1, 179, 2,  0, 0}, // #369
5694  {DBGFIELD("STPXpre")            1, false, false, 251, 1, 179, 2,  0, 0}, // #370
5695  {DBGFIELD("STRBBpost")          1, false, false, 251, 1, 179, 2,  0, 0}, // #371
5696  {DBGFIELD("STRBBpre")           1, false, false, 251, 1, 179, 2,  0, 0}, // #372
5697  {DBGFIELD("STRBpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #373
5698  {DBGFIELD("STRBpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #374
5699  {DBGFIELD("STRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #375
5700  {DBGFIELD("STRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #376
5701  {DBGFIELD("STRDpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #377
5702  {DBGFIELD("STRDpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #378
5703  {DBGFIELD("STRHHpost")          1, false, false, 251, 1, 179, 2,  0, 0}, // #379
5704  {DBGFIELD("STRHHpre")           1, false, false, 251, 1, 179, 2,  0, 0}, // #380
5705  {DBGFIELD("STRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #381
5706  {DBGFIELD("STRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #382
5707  {DBGFIELD("STRHpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #383
5708  {DBGFIELD("STRHpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #384
5709  {DBGFIELD("STRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #385
5710  {DBGFIELD("STRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #386
5711  {DBGFIELD("STRQpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #387
5712  {DBGFIELD("STRQpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #388
5713  {DBGFIELD("STRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #389
5714  {DBGFIELD("STRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #390
5715  {DBGFIELD("STRQui")             1, false, false, 307, 2,  5, 1,  0, 0}, // #391
5716  {DBGFIELD("STRSpost")           1, false, false, 307, 2, 179, 2,  0, 0}, // #392
5717  {DBGFIELD("STRSpre")            1, false, false, 307, 2, 179, 2,  0, 0}, // #393
5718  {DBGFIELD("STRWpost")           1, false, false, 251, 1, 179, 2,  0, 0}, // #394
5719  {DBGFIELD("STRWpre")            1, false, false, 251, 1, 179, 2,  0, 0}, // #395
5720  {DBGFIELD("STRXpost")           1, false, false, 251, 1, 179, 2,  0, 0}, // #396
5721  {DBGFIELD("STRXpre")            1, false, false, 251, 1, 179, 2,  0, 0}, // #397
5722  {DBGFIELD("STURQi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #398
5723  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false,  0, 0,  0, 1,  0, 0}, // #399
5724  {DBGFIELD("ANDWri_ANDXri")      1, false, false, 97, 1,  5, 1,  0, 1}, // #400
5725  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false, 97, 1,  5, 1,  1, 2}, // #401
5726  {DBGFIELD("ISB")                1, false, false,  0, 0,  5, 1,  0, 0}, // #402
5727  {DBGFIELD("ORRv16i8")           1, false, false, 244, 1,  5, 1,  0, 0}, // #403
5728  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 244, 1,  5, 1,  0, 0}, // #404
5729  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 356, 1,  5, 1,  0, 0}, // #405
5730  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #406
5731  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #407
5732  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #408
5733  {DBGFIELD("ADDVv16i8v")         1, false, false, 244, 1, 137, 1,  0, 0}, // #409
5734  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 244, 1, 137, 1,  0, 0}, // #410
5735  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 244, 1, 137, 1,  0, 0}, // #411
5736  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #412
5737  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #413
5738  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #414
5739  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #415
5740  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 247, 2,  1, 1,  0, 0}, // #416
5741  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #417
5742  {DBGFIELD("FADDPv2i32p")        2, false, false, 355, 2, 137, 1,  0, 0}, // #418
5743  {DBGFIELD("FADDPv2i64p")        2, false, false, 355, 2, 137, 1,  0, 0}, // #419
5744  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 247, 2,  1, 1,  0, 0}, // #420
5745  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 247, 2,  1, 1,  0, 0}, // #421
5746  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 247, 2,  1, 1,  0, 0}, // #422
5747  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false, 12, 1,  1, 1,  0, 0}, // #423
5748  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 244, 1, 137, 1,  0, 0}, // #424
5749  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #425
5750  {DBGFIELD("FADDPv4f32")         2, false, false, 355, 2, 137, 1,  0, 0}, // #426
5751  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 244, 1, 137, 1,  0, 0}, // #427
5752  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #428
5753  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
5754  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #430
5755  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 244, 1, 137, 1,  0, 0}, // #431
5756  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 244, 1, 137, 1,  0, 0}, // #432
5757  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
5758  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #434
5759  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 247, 2,  5, 1,  0, 0}, // #435
5760  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 354, 1,  5, 1,  0, 0}, // #436
5761  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #437
5762  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #438
5763  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 354, 1, 137, 1,  0, 0}, // #439
5764  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 354, 1, 137, 1,  0, 0}, // #440
5765  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 354, 1, 137, 1,  0, 0}, // #441
5766  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 354, 1, 137, 1,  0, 0}, // #442
5767  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #443
5768  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #444
5769  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 352, 2, 223, 1,  0, 0}, // #445
5770  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 352, 2, 223, 1,  0, 0}, // #446
5771  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 352, 2, 223, 1,  0, 0}, // #447
5772  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 250, 1, 137, 1,  0, 0}, // #448
5773  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 250, 1, 137, 1,  0, 0}, // #449
5774  {DBGFIELD("FMULX64")            1, false, false, 244, 1, 137, 1,  0, 0}, // #450
5775  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
5776  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 250, 1, 221, 1, 97, 2}, // #452
5777  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #453
5778  {DBGFIELD("FMLAv4f32")          1, false, false, 250, 1, 221, 1, 97, 2}, // #454
5779  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #455
5780  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 15, 1,  8, 1,  0, 0}, // #456
5781  {DBGFIELD("URSQRTEv2i32")       1, false, false, 15, 1,  8, 1,  0, 0}, // #457
5782  {DBGFIELD("URSQRTEv4i32")       1, false, false, 15, 1,  8, 1,  0, 0}, // #458
5783  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 15, 1,  8, 1,  0, 0}, // #459
5784  {DBGFIELD("FRECPSv2f32")        1, false, false, 250, 1, 221, 1, 97, 2}, // #460
5785  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #461
5786  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 250, 1, 221, 1, 97, 2}, // #462
5787  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #463
5788  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 15, 1, 137, 1,  0, 0}, // #464
5789  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 365, 3,  8, 1,  0, 0}, // #465
5790  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false, 335, 1, 222, 1, 99, 1}, // #466
5791  {DBGFIELD("SHA256SU1rrr")       1, false, false, 335, 1, 10, 1,  0, 0}, // #467
5792  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 244, 1,  5, 1,  0, 0}, // #468
5793  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #469
5794  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 244, 1, 137, 1,  0, 0}, // #470
5795  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 244, 1, 137, 1,  0, 0}, // #471
5796  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 2, false, false, 363, 2, 10, 1,  0, 0}, // #472
5797  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #473
5798  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #474
5799  {DBGFIELD("FCVTXNv1i64")        1, false, false, 15, 1, 137, 1,  0, 0}, // #475
5800  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 250, 1, 137, 1,  0, 0}, // #476
5801  {DBGFIELD("FMULX32")            1, false, false, 244, 1, 137, 1,  0, 0}, // #477
5802  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 244, 1,  5, 1,  0, 0}, // #478
5803  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #479
5804  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #480
5805  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 15, 1, 137, 1,  0, 0}, // #481
5806  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #482
5807  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 15, 1, 137, 1,  0, 0}, // #483
5808  {DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 250, 1, 137, 1,  0, 0}, // #484
5809  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 15, 1, 137, 1,  0, 0}, // #485
5810  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #486
5811  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #487
5812  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #488
5813  {DBGFIELD("ADDPv2i64p")         1, false, false, 247, 2, 137, 1,  0, 0}, // #489
5814  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #490
5815  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #491
5816  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #492
5817  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #493
5818  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #494
5819  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 354, 1,  5, 1,  0, 0}, // #495
5820  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 354, 1,  5, 1,  0, 0}, // #496
5821  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 354, 1,  5, 1,  0, 0}, // #497
5822  {DBGFIELD("SSHRd_USHRd")        1, false, false, 354, 1,  5, 1,  0, 0}, // #498
5823  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #499
5824  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #500
5825  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #501
5826  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 247, 2,  1, 1,  0, 0}, // #502
5827  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #503
5828  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #504
5829  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 354, 1,  5, 1,  0, 0}, // #505
5830  {DBGFIELD("SHLd")               1, false, false, 354, 1,  5, 1,  0, 0}, // #506
5831  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #507
5832  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #508
5833  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #509
5834  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #510
5835  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #511
5836  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #512
5837  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #513
5838  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #514
5839  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 244, 1, 137, 1,  0, 0}, // #515
5840  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #516
5841  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #517
5842  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #518
5843  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #519
5844  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #520
5845  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #521
5846  {DBGFIELD("ADDVv4i16v")         1, false, false, 244, 1, 137, 1,  0, 0}, // #522
5847  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #523
5848  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #524
5849  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #525
5850  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 352, 2, 223, 1,  0, 0}, // #526
5851  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 244, 1, 137, 1,  0, 0}, // #527
5852  {DBGFIELD("ADDVv4i32v")         1, false, false, 244, 1, 137, 1,  0, 0}, // #528
5853  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #529
5854  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #530
5855  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #531
5856  {DBGFIELD("ADDPv2i64")          1, false, false, 247, 2, 137, 1,  0, 0}, // #532
5857  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #533
5858  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #534
5859  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #535
5860  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #536
5861  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #537
5862  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 354, 1,  1, 1,  0, 0}, // #538
5863  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #539
5864  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #540
5865  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #541
5866  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #542
5867  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 354, 1,  5, 1,  0, 0}, // #543
5868  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 354, 1,  1, 1,  0, 0}, // #544
5869  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #545
5870  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #546
5871  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #547
5872  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #548
5873  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #549
5874  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 352, 2, 223, 1,  0, 0}, // #550
5875  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 244, 1, 137, 1,  0, 0}, // #551
5876  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #552
5877  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 247, 2, 137, 1,  0, 0}, // #553
5878  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 352, 2, 223, 1,  0, 0}, // #554
5879  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 352, 2, 223, 1,  0, 0}, // #555
5880  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 97, 1,  5, 1,  0, 1}, // #556
5881  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #557
5882  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #558
5883  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 97, 1,  5, 1,  0, 1}, // #559
5884  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #560
5885  {DBGFIELD("ADDXrr")             1, false, false, 97, 1,  5, 1,  1, 2}, // #561
5886  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #562
5887  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false, 97, 1,  5, 1,  0, 1}, // #563
5888  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #564
5889  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #565
5890  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #566
5891  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #567
5892  {DBGFIELD("EONWrr_EONXrr")      1, false, false, 97, 1,  5, 1,  1, 2}, // #568
5893  {DBGFIELD("EONWrs_EONXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #569
5894  {DBGFIELD("EORWri_EORXri")      1, false, false, 97, 1,  5, 1,  0, 1}, // #570
5895  {DBGFIELD("EORWrr_EORXrr")      1, false, false, 97, 1,  5, 1,  1, 2}, // #571
5896  {DBGFIELD("EORWrs_EORXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #572
5897  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false, 97, 1,  5, 1,  1, 2}, // #573
5898  {DBGFIELD("ORNWrs_ORNXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #574
5899  {DBGFIELD("ORRWri_ORRXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #575
5900  {DBGFIELD("ORRWrr")             1, false, false, 97, 1,  5, 1,  1, 2}, // #576
5901  {DBGFIELD("ORRWrs_ORRXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #577
5902  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #578
5903  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 97, 1,  5, 1,  0, 1}, // #579
5904  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #580
5905  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
5906  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #582
5907  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #583
5908  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 368, 2, 117, 1,  0, 0}, // #584
5909  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 356, 1,  5, 1,  0, 0}, // #585
5910  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 368, 2, 117, 1,  0, 0}, // #586
5911  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 356, 1,  5, 1,  0, 0}, // #587
5912  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 356, 1, 137, 1,  0, 0}, // #588
5913  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #589
5914  {DBGFIELD("EXTv8i8")            1, false, false, 356, 1,  5, 1,  0, 0}, // #590
5915  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #591
5916  {DBGFIELD("TBLv8i8One")         1, false, false, 356, 1,  5, 1,  0, 0}, // #592
5917  {DBGFIELD("NOTv8i8")            1, false, false, 244, 1,  5, 1,  0, 0}, // #593
5918  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #594
5919  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #595
5920  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #596
5921  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 15, 1,  8, 1,  0, 0}, // #597
5922  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 247, 2,  5, 1,  0, 0}, // #598
5923  {DBGFIELD("FRECPS32")           1, false, false, 250, 1, 221, 1, 97, 2}, // #599
5924  {DBGFIELD("EXTv16i8")           1, false, false, 356, 1,  5, 1,  0, 0}, // #600
5925  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #601
5926  {DBGFIELD("NOTv16i8")           1, false, false, 244, 1,  5, 1,  0, 0}, // #602
5927  {DBGFIELD("TBLv16i8One")        1, false, false, 356, 1,  5, 1,  0, 0}, // #603
5928  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 244, 1,  5, 1,  0, 0}, // #604
5929  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 15, 1,  8, 1,  0, 0}, // #605
5930  {DBGFIELD("TBLv8i8Two")         1, false, false, 356, 1,  5, 1,  0, 0}, // #606
5931  {DBGFIELD("FRECPSv4f32")        1, false, false, 250, 1, 221, 1, 97, 2}, // #607
5932  {DBGFIELD("TBLv16i8Two")        1, false, false, 356, 1,  5, 1,  0, 0}, // #608
5933  {DBGFIELD("TBLv8i8Three")       1, false, false, 356, 1,  5, 1,  0, 0}, // #609
5934  {DBGFIELD("TBLv16i8Three")      1, false, false, 356, 1,  5, 1,  0, 0}, // #610
5935  {DBGFIELD("TBLv8i8Four")        1, false, false, 356, 1,  5, 1,  0, 0}, // #611
5936  {DBGFIELD("TBLv16i8Four")       1, false, false, 356, 1,  5, 1,  0, 0}, // #612
5937  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 307, 2,  5, 1,  0, 0}, // #613
5938  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #614
5939  {DBGFIELD("STPSi")              1, false, false, 307, 2,  5, 1,  0, 0}, // #615
5940  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 307, 2,  5, 1,  0, 0}, // #616
5941  {DBGFIELD("STNPSi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #617
5942  {DBGFIELD("B")                  1, false, false,  0, 0,  0, 1,  0, 0}, // #618
5943  {DBGFIELD("TCRETURNdi")         1, false, false, 245, 2,  5, 1,  0, 0}, // #619
5944  {DBGFIELD("BR_RET")             1, false, false, 245, 2,  5, 1,  0, 0}, // #620
5945  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 381, 2,  1, 1,  0, 0}, // #621
5946  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 245, 2,  5, 1,  0, 0}, // #622
5947  {DBGFIELD("Bcc")                1, false, false, 24, 1,  5, 1,  0, 0}, // #623
5948  {DBGFIELD("SHA1Hrr")            1, false, false, 336, 3,  5, 1,  0, 0}, // #624
5949  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 247, 2,  1, 1,  0, 0}, // #625
5950  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 247, 2,  1, 1,  0, 0}, // #626
5951  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 365, 3, 137, 1,  0, 0}, // #627
5952  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 244, 1,  5, 1,  0, 0}, // #628
5953  {DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 350, 2, 10, 1,  0, 0}, // #629
5954  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false, 15, 1, 137, 1,  0, 0}, // #630
5955  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 365, 3, 137, 1,  0, 0}, // #631
5956  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false, 15, 1, 137, 1,  0, 0}, // #632
5957  {DBGFIELD("FCVTSDr")            1, false, false, 15, 1, 137, 1,  0, 0}, // #633
5958  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 250, 1, 137, 1,  0, 0}, // #634
5959  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 244, 1,  5, 1,  0, 0}, // #635
5960  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false, 244, 1,  5, 1,  0, 0}, // #636
5961  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false, 244, 1,  5, 1,  0, 0}, // #637
5962  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 244, 1,  5, 1,  0, 0}, // #638
5963  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false, 12, 1,  1, 1,  0, 0}, // #639
5964  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #640
5965  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 15, 1,  1, 1,  0, 0}, // #641
5966  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 252, 1,  8, 1,  0, 0}, // #642
5967  {DBGFIELD("PRFUMi")             1, false, false, 252, 1,  8, 1,  0, 0}, // #643
5968  {DBGFIELD("LDNPWi_LDNPXi")      1, false, false, 252, 1, 11, 2,  0, 0}, // #644
5969  {DBGFIELD("LDPWi_LDPXi")        1, false, false, 252, 1, 11, 2,  0, 0}, // #645
5970  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 2, false, false, 253, 3, 13, 3,  0, 0}, // #646
5971  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 252, 1,  8, 1,  0, 0}, // #647
5972  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 252, 1, 14, 2,  0, 0}, // #648
5973  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #649
5974  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 252, 1,  8, 1,  0, 0}, // #650
5975  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 252, 1,  8, 1,  0, 0}, // #651
5976  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 252, 1,  8, 1,  0, 0}, // #652
5977  {DBGFIELD("PRFMroW_PRFMroX")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #653
5978  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 252, 1,  8, 1,  0, 0}, // #654
5979  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 252, 1, 14, 2,  0, 0}, // #655
5980  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #656
5981  {DBGFIELD("LDRSWl")             1, false, false, 252, 1,  8, 1,  0, 0}, // #657
5982  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 252, 1,  8, 1,  0, 0}, // #658
5983  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 252, 1,  8, 1,  0, 0}, // #659
5984  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 97, 1,  5, 1,  0, 1}, // #660
5985  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 97, 1,  5, 1,  0, 1}, // #661
5986  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 245, 2, 176, 1, 93, 4}, // #662
5987  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false, 245, 2, 176, 1, 93, 4}, // #663
5988  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false, 256, 2, 154, 1, 93, 4}, // #664
5989  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 258, 3, 207, 1,  1, 2}, // #665
5990  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 261, 3, 208, 1,  1, 2}, // #666
5991  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 97, 1,  5, 1,  0, 1}, // #667
5992  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false, 97, 1,  5, 1,  0, 1}, // #668
5993  {DBGFIELD("ADR_ADRP")           1, false, false,  0, 0,  0, 1,  0, 0}, // #669
5994  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  0, 0,  0, 1,  0, 0}, // #670
5995  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 97, 1,  5, 1,  0, 0}, // #671
5996  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 0, false, false,  0, 0,  1, 1,  0, 0}, // #672
5997  {DBGFIELD("LOADgot")            1, false, false, 252, 1, 10, 1,  0, 0}, // #673
5998  {DBGFIELD("CLREX_DMB_DSB")      1, false, false,  0, 0,  5, 1,  0, 0}, // #674
5999  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  5, 1,  0, 0}, // #675
6000  {DBGFIELD("HINT")               1, false, false,  0, 0,  5, 1,  0, 0}, // #676
6001  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  0, 0,  5, 1,  0, 0}, // #677
6002  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  0, 0,  5, 1,  0, 0}, // #678
6003  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 252, 1,  8, 1,  0, 0}, // #679
6004  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 252, 1, 11, 2,  0, 0}, // #680
6005  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  5, 1,  0, 0}, // #681
6006  {DBGFIELD("DRPS")               1, false, false, 245, 2,  5, 1,  0, 0}, // #682
6007  {DBGFIELD("MSR")                1, false, false,  0, 0,  5, 1,  0, 0}, // #683
6008  {DBGFIELD("STNPWi")             1, false, false, 251, 1,  5, 1,  0, 0}, // #684
6009  {DBGFIELD("ERET")               1, false, false, 245, 2,  5, 1,  0, 0}, // #685
6010  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
6011  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 251, 1,  5, 1,  0, 0}, // #687
6012  {DBGFIELD("STXPW_STXPX")        1, false, false, 251, 1,  5, 1,  0, 0}, // #688
6013  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 1, false, false, 251, 1,  5, 1,  0, 0}, // #689
6014  {DBGFIELD("STLXPW_STLXPX")      1, false, false, 251, 1,  5, 1,  0, 0}, // #690
6015  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 1, false, false, 251, 1,  5, 1,  0, 0}, // #691
6016  {DBGFIELD("STPWi")              1, false, false, 251, 1,  5, 1,  0, 0}, // #692
6017  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 251, 1,  5, 1,  0, 0}, // #693
6018  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #694
6019  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 251, 1,  5, 1,  0, 0}, // #695
6020  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 251, 1,  5, 1,  0, 0}, // #696
6021  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #697
6022  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 365, 3,  8, 1,  0, 0}, // #698
6023  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #699
6024  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 352, 2, 223, 1,  0, 0}, // #700
6025  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #701
6026  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 247, 2, 137, 1,  0, 0}, // #702
6027  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 244, 1, 137, 1,  0, 0}, // #703
6028  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
6029  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #705
6030  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 247, 2, 137, 1,  0, 0}, // #706
6031  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
6032  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
6033  {DBGFIELD("ADDv1i64")           1, false, false, 244, 1,  5, 1,  0, 0}, // #709
6034  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #710
6035  {DBGFIELD("ANDSWri")            1, false, false, 97, 1,  5, 1,  0, 1}, // #711
6036  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false, 97, 1,  5, 1,  1, 2}, // #712
6037  {DBGFIELD("ANDSWrs_ANDWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #713
6038  {DBGFIELD("ANDWri")             1, false, false, 97, 1,  5, 1,  0, 1}, // #714
6039  {DBGFIELD("BICSWrr_BICWrr")     1, false, false, 97, 1,  5, 1,  1, 2}, // #715
6040  {DBGFIELD("BICSWrs_BICWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #716
6041  {DBGFIELD("EONWrr")             1, false, false, 97, 1,  5, 1,  1, 2}, // #717
6042  {DBGFIELD("EONWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #718
6043  {DBGFIELD("EORWri")             1, false, false, 97, 1,  5, 1,  0, 1}, // #719
6044  {DBGFIELD("EORWrr")             1, false, false, 97, 1,  5, 1,  1, 2}, // #720
6045  {DBGFIELD("EORWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #721
6046  {DBGFIELD("ORNWrr")             1, false, false, 97, 1,  5, 1,  1, 2}, // #722
6047  {DBGFIELD("ORNWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #723
6048  {DBGFIELD("ORRWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #724
6049  {DBGFIELD("ORRWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #725
6050  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 97, 1,  5, 1,  0, 1}, // #726
6051  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 244, 1, 137, 1,  0, 0}, // #727
6052  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 244, 1, 137, 1,  0, 0}, // #728
6053  {DBGFIELD("CSELWr_CSELXr")      1, false, false, 97, 1,  5, 1,  1, 2}, // #729
6054  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 97, 1,  5, 1,  1, 2}, // #730
6055  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 247, 2,  5, 1,  0, 0}, // #731
6056  {DBGFIELD("FCMGEv2f32")         1, false, false, 247, 2,  5, 1,  0, 0}, // #732
6057  {DBGFIELD("FABDv2f32")          1, false, false, 12, 1,  1, 1,  0, 0}, // #733
6058  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 244, 1, 137, 1,  0, 0}, // #734
6059  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 244, 1, 137, 1,  0, 0}, // #735
6060  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 365, 3, 137, 1,  0, 0}, // #736
6061  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #737
6062  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #738
6063  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #739
6064  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #740
6065  {DBGFIELD("FMLSv4f32")          1, false, false, 250, 1, 221, 1, 97, 2}, // #741
6066  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 250, 1, 221, 1, 97, 2}, // #742
6067  {DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 383, 2, 10, 1,  0, 0}, // #743
6068  {DBGFIELD("FMOVXDHighr")        2, false, false, 383, 2, 10, 1,  0, 0}, // #744
6069  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 250, 1, 137, 1,  0, 0}, // #745
6070  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 15, 1,  8, 1,  0, 0}, // #746
6071  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 15, 1,  8, 1,  0, 0}, // #747
6072  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 252, 1,  8, 1,  0, 0}, // #748
6073  {DBGFIELD("LDAXPW_LDAXPX")      1, false, false, 252, 1, 11, 2,  0, 0}, // #749
6074  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false, 97, 1,  5, 1,  0, 1}, // #750
6075  {DBGFIELD("MRS")                1, false, false,  0, 0,  5, 1,  0, 0}, // #751
6076  {DBGFIELD("MSRpstateImm4")      1, false, false,  0, 0,  5, 1,  0, 0}, // #752
6077  {DBGFIELD("RBITWr_RBITXr")      1, false, false, 97, 1,  5, 1,  0, 1}, // #753
6078  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #754
6079  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #755
6080  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 356, 1,  5, 1,  0, 0}, // #756
6081  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 356, 1,  5, 1,  0, 0}, // #757
6082  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 356, 1,  5, 1,  0, 0}, // #758
6083  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #759
6084  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 356, 1,  5, 1,  0, 0}, // #760
6085  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #761
6086  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 245, 2,  5, 1,  0, 0}, // #762
6087  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
6088  {DBGFIELD("ANDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #764
6089  {DBGFIELD("ANDXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #765
6090  {DBGFIELD("BICWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #766
6091  {DBGFIELD("BICXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #767
6092  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
6093  {DBGFIELD("ADDWri_ADDXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #769
6094  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #770
6095  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #771
6096  {DBGFIELD("PRFMroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #772
6097  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #773
6098  {DBGFIELD("FABSDr_FABSSr")      1, false, false, 356, 1,  5, 1,  0, 0}, // #774
6099  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 365, 3, 137, 1,  0, 0}, // #775
6100  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false, 365, 3, 137, 1,  0, 0}, // #776
6101  {DBGFIELD("FRECPEv1f16")        1, false, false, 15, 1,  8, 1,  0, 0}, // #777
6102  {DBGFIELD("FRSQRTEv1f16")       1, false, false, 15, 1,  8, 1,  0, 0}, // #778
6103  {DBGFIELD("FRECPXv1f16")        1, false, false, 247, 2,  5, 1,  0, 0}, // #779
6104  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #780
6105  {DBGFIELD("FMOVDXr")            1, false, false, 244, 1,  5, 1,  0, 0}, // #781
6106  {DBGFIELD("STRDroW_STRSroW")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #782
6107  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #783
6108  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #784
6109  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #785
6110  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 247, 2,  5, 1,  0, 0}, // #786
6111  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 1, false, false, 352, 2, 223, 1,  0, 0}, // #787
6112  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 354, 1,  5, 1,  0, 0}, // #788
6113  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 354, 1,  5, 1,  0, 0}, // #789
6114  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 354, 1, 137, 1,  0, 0}, // #790
6115  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #791
6116  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 354, 1, 137, 1,  0, 0}, // #792
6117  {DBGFIELD("FABSv2f32")          1, false, false, 356, 1,  5, 1,  0, 0}, // #793
6118  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 356, 1,  5, 1,  0, 0}, // #794
6119  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 356, 1,  5, 1,  0, 0}, // #795
6120  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 12, 1,  1, 1,  0, 0}, // #796
6121  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 2, false, false, 355, 2, 137, 1,  0, 0}, // #797
6122  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 2, false, false, 355, 2, 137, 1,  0, 0}, // #798
6123  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #799
6124  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #800
6125  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 247, 2,  5, 1,  0, 0}, // #801
6126  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 15, 1,  1, 1,  0, 0}, // #802
6127  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 15, 1,  1, 1,  0, 0}, // #803
6128  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 15, 1,  1, 1,  0, 0}, // #804
6129  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #805
6130  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 247, 2,  1, 1,  0, 0}, // #806
6131  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 247, 2,  1, 1,  0, 0}, // #807
6132  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 250, 1, 137, 1,  0, 0}, // #808
6133  {DBGFIELD("FMLAv2f32")          1, false, false, 250, 1, 221, 1, 97, 2}, // #809
6134  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #810
6135  {DBGFIELD("FMLSv2f32")          1, false, false, 250, 1, 221, 1, 97, 2}, // #811
6136  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #812
6137  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 244, 1,  5, 1,  0, 0}, // #813
6138  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 365, 3, 137, 1,  0, 0}, // #814
6139  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 356, 1,  5, 1,  0, 0}, // #815
6140  {DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 356, 1,  5, 1,  0, 0}, // #816
6141  {DBGFIELD("FABSHr")             1, false, false, 12, 1,  1, 1,  0, 0}, // #817
6142  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false, 12, 1,  1, 1,  0, 0}, // #818
6143  {DBGFIELD("FADDPv2i16p")        2, false, false, 355, 2, 137, 1,  0, 0}, // #819
6144  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 247, 2,  1, 1,  0, 0}, // #820
6145  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 247, 2,  1, 1,  0, 0}, // #821
6146  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 244, 1, 137, 1,  0, 0}, // #822
6147  {DBGFIELD("FDIVHrr")            1, false, false, 249, 1, 201, 1,  0, 0}, // #823
6148  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 250, 1,  8, 1,  0, 0}, // #824
6149  {DBGFIELD("FMULX16")            1, false, false, 244, 1, 137, 1,  0, 0}, // #825
6150  {DBGFIELD("FNEGHr")             1, false, false, 12, 1,  1, 1,  0, 0}, // #826
6151  {DBGFIELD("FCSELHrrr")          1, false, false, 12, 1,  1, 1,  0, 0}, // #827
6152  {DBGFIELD("FSQRTHr")            1, false, false, 249, 1, 201, 1,  0, 0}, // #828
6153  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 15, 1, 137, 1,  0, 0}, // #829
6154  {DBGFIELD("FMOVHi")             1, false, false, 244, 1,  5, 1,  0, 0}, // #830
6155  {DBGFIELD("FMOVHr")             1, false, false, 12, 1,  1, 1,  0, 0}, // #831
6156  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false, 244, 1,  5, 1,  0, 0}, // #832
6157  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false, 244, 1,  5, 1,  0, 0}, // #833
6158  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
6159  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 352, 2, 223, 1,  0, 0}, // #835
6160  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 352, 2, 223, 1,  0, 0}, // #836
6161  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 352, 2, 223, 1,  0, 0}, // #837
6162  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 352, 2, 223, 1,  0, 0}, // #838
6163  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 244, 1, 137, 1,  0, 0}, // #839
6164  {DBGFIELD("FDIVv4f16")          1, false, false, 244, 1, 137, 1,  0, 0}, // #840
6165  {DBGFIELD("FDIVv8f16")          1, false, false, 244, 1, 137, 1,  0, 0}, // #841
6166  {DBGFIELD("FSQRTv4f16")         1, false, false, 244, 1, 137, 1,  0, 0}, // #842
6167  {DBGFIELD("FSQRTv8f16")         1, false, false, 244, 1, 137, 1,  0, 0}, // #843
6168  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 244, 1, 137, 1,  0, 0}, // #844
6169  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 244, 1, 137, 1,  0, 0}, // #845
6170  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 244, 1,  5, 1,  0, 0}, // #846
6171  {DBGFIELD("PMULLv1i64")         1, false, false, 336, 3, 137, 1,  0, 0}, // #847
6172  {DBGFIELD("PMULLv8i8")          1, false, false, 336, 3, 137, 1,  0, 0}, // #848
6173  {DBGFIELD("SHA256H2rrr")        1, false, false, 335, 1, 10, 1,  0, 0}, // #849
6174  {DBGFIELD("TBNZW_TBZW")         2, false, false, 381, 2,  1, 1,  0, 0}, // #850
6175  {DBGFIELD("ADCSWr_ADCWr")       1, false, false, 97, 1,  5, 1,  1, 2}, // #851
6176  {DBGFIELD("SBCSWr_SBCWr")       1, false, false, 97, 1,  5, 1,  1, 2}, // #852
6177  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
6178  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
6179  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
6180  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
6181  {DBGFIELD("ADDSWrx_ADDWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #857
6182  {DBGFIELD("SUBSWrx_SUBWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #858
6183  {DBGFIELD("ADDWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #859
6184  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false, 97, 1,  5, 1,  0, 1}, // #860
6185  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false, 97, 1,  5, 1,  1, 2}, // #861
6186  {DBGFIELD("CSELWr")             1, false, false, 97, 1,  5, 1,  1, 2}, // #862
6187  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false, 97, 1,  5, 1,  1, 2}, // #863
6188  {DBGFIELD("CSINVWr")            1, false, false, 97, 1,  5, 1,  1, 2}, // #864
6189  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 97, 1,  5, 1,  0, 1}, // #865
6190  {DBGFIELD("LSLVWr")             1, false, false, 97, 1,  5, 1,  0, 1}, // #866
6191  {DBGFIELD("BFMWri")             1, false, false, 97, 1,  5, 1,  0, 1}, // #867
6192  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false, 97, 1,  5, 1,  0, 1}, // #868
6193  {DBGFIELD("CLSWr_CLZWr")        1, false, false, 97, 1,  5, 1,  0, 1}, // #869
6194  {DBGFIELD("RBITWr")             1, false, false, 97, 1,  5, 1,  0, 1}, // #870
6195  {DBGFIELD("REVWr_REV16Wr")      1, false, false, 97, 1,  5, 1,  0, 1}, // #871
6196  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
6197  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
6198  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
6199  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
6200  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
6201  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
6202  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
6203  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
6204  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
6205  {DBGFIELD("BRK")                1, false, false,  0, 0,  5, 1,  0, 0}, // #881
6206  {DBGFIELD("CBNZW_CBNZX")        1, false, false, 245, 2,  5, 1,  0, 0}, // #882
6207  {DBGFIELD("TBNZW")              2, false, false, 381, 2,  1, 1,  0, 0}, // #883
6208  {DBGFIELD("TBNZX")              2, false, false, 381, 2,  1, 1,  0, 0}, // #884
6209  {DBGFIELD("BR")                 1, false, false, 245, 2,  5, 1,  0, 0}, // #885
6210  {DBGFIELD("ADCWr")              1, false, false, 97, 1,  5, 1,  1, 2}, // #886
6211  {DBGFIELD("ADCXr")              1, false, false, 97, 1,  5, 1,  1, 2}, // #887
6212  {DBGFIELD("ASRVWr_RORVWr")      1, false, false, 97, 1,  5, 1,  0, 1}, // #888
6213  {DBGFIELD("ASRVXr_RORVXr")      1, false, false, 97, 1,  5, 1,  0, 1}, // #889
6214  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
6215  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 245, 2,  1, 1,  0, 0}, // #891
6216  {DBGFIELD("LDNPWi")             1, false, false, 252, 1, 11, 2,  0, 0}, // #892
6217  {DBGFIELD("LDPWi")              1, false, false, 252, 1, 11, 2,  0, 0}, // #893
6218  {DBGFIELD("LDRWl")              1, false, false, 252, 1,  8, 1,  0, 0}, // #894
6219  {DBGFIELD("LDTRBi")             1, false, false, 252, 1,  8, 1,  0, 0}, // #895
6220  {DBGFIELD("LDTRHi")             1, false, false, 252, 1,  8, 1,  0, 0}, // #896
6221  {DBGFIELD("LDTRWi")             1, false, false, 252, 1,  8, 1,  0, 0}, // #897
6222  {DBGFIELD("LDTRSBWi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #898
6223  {DBGFIELD("LDTRSBXi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #899
6224  {DBGFIELD("LDTRSHWi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #900
6225  {DBGFIELD("LDTRSHXi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #901
6226  {DBGFIELD("LDPWpre")            2, false, false, 253, 3, 13, 3,  0, 0}, // #902
6227  {DBGFIELD("LDRWpre")            1, false, false, 252, 1, 14, 2,  0, 0}, // #903
6228  {DBGFIELD("LDRXpre")            1, false, false, 252, 1, 14, 2,  0, 0}, // #904
6229  {DBGFIELD("LDRSBWpre")          1, false, false, 252, 1, 14, 2,  0, 0}, // #905
6230  {DBGFIELD("LDRSBXpre")          1, false, false, 252, 1, 14, 2,  0, 0}, // #906
6231  {DBGFIELD("LDRSBWpost")         1, false, false, 252, 1, 14, 2,  0, 0}, // #907
6232  {DBGFIELD("LDRSBXpost")         1, false, false, 252, 1, 14, 2,  0, 0}, // #908
6233  {DBGFIELD("LDRSHWpre")          1, false, false, 252, 1, 14, 2,  0, 0}, // #909
6234  {DBGFIELD("LDRSHXpre")          1, false, false, 252, 1, 14, 2,  0, 0}, // #910
6235  {DBGFIELD("LDRSHWpost")         1, false, false, 252, 1, 14, 2,  0, 0}, // #911
6236  {DBGFIELD("LDRSHXpost")         1, false, false, 252, 1, 14, 2,  0, 0}, // #912
6237  {DBGFIELD("LDRBBpre")           1, false, false, 252, 1, 14, 2,  0, 0}, // #913
6238  {DBGFIELD("LDRBBpost")          1, false, false, 252, 1, 14, 2,  0, 0}, // #914
6239  {DBGFIELD("LDRHHpre")           1, false, false, 252, 1, 14, 2,  0, 0}, // #915
6240  {DBGFIELD("LDRHHpost")          1, false, false, 252, 1, 14, 2,  0, 0}, // #916
6241  {DBGFIELD("LDPWpost")           2, false, false, 253, 3, 13, 3,  0, 0}, // #917
6242  {DBGFIELD("LDPXpost")           2, false, false, 253, 3, 13, 3,  0, 0}, // #918
6243  {DBGFIELD("LDRWpost")           1, false, false, 252, 1, 14, 2,  0, 0}, // #919
6244  {DBGFIELD("LDRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #920
6245  {DBGFIELD("LDRXroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #921
6246  {DBGFIELD("LDRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #922
6247  {DBGFIELD("LDRXroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #923
6248  {DBGFIELD("LDURBBi")            1, false, false, 252, 1,  8, 1,  0, 0}, // #924
6249  {DBGFIELD("LDURHHi")            1, false, false, 252, 1,  8, 1,  0, 0}, // #925
6250  {DBGFIELD("LDURXi")             1, false, false, 252, 1,  8, 1,  0, 0}, // #926
6251  {DBGFIELD("LDURSBWi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #927
6252  {DBGFIELD("LDURSBXi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #928
6253  {DBGFIELD("LDURSHWi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #929
6254  {DBGFIELD("LDURSHXi")           1, false, false, 252, 1,  8, 1,  0, 0}, // #930
6255  {DBGFIELD("PRFMl")              1, false, false, 252, 1,  8, 1,  0, 0}, // #931
6256  {DBGFIELD("STURBi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #932
6257  {DBGFIELD("STURBBi")            1, false, false, 251, 1,  5, 1,  0, 0}, // #933
6258  {DBGFIELD("STURDi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #934
6259  {DBGFIELD("STURHi")             1, false, false, 307, 2,  5, 1,  0, 0}, // #935
6260  {DBGFIELD("STURHHi")            1, false, false, 251, 1,  5, 1,  0, 0}, // #936
6261  {DBGFIELD("STURWi")             1, false, false, 251, 1,  5, 1,  0, 0}, // #937
6262  {DBGFIELD("STTRBi")             1, false, false, 251, 1,  5, 1,  0, 0}, // #938
6263  {DBGFIELD("STTRHi")             1, false, false, 251, 1,  5, 1,  0, 0}, // #939
6264  {DBGFIELD("STTRWi")             1, false, false, 251, 1,  5, 1,  0, 0}, // #940
6265  {DBGFIELD("STRBui")             1, false, false, 307, 2,  5, 1,  0, 0}, // #941
6266  {DBGFIELD("STRDui")             1, false, false, 307, 2,  5, 1,  0, 0}, // #942
6267  {DBGFIELD("STRHui")             1, false, false, 307, 2,  5, 1,  0, 0}, // #943
6268  {DBGFIELD("STRXui")             1, false, false, 251, 1,  5, 1,  0, 0}, // #944
6269  {DBGFIELD("STRWui")             1, false, false, 251, 1,  5, 1,  0, 0}, // #945
6270  {DBGFIELD("STRBBroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #946
6271  {DBGFIELD("STRBBroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #947
6272  {DBGFIELD("STRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #948
6273  {DBGFIELD("STRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #949
6274  {DBGFIELD("STRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #950
6275  {DBGFIELD("STRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #951
6276  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
6277  {DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 12, 1,  1, 1,  0, 0}, // #953
6278  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 12, 1,  1, 1,  0, 0}, // #954
6279  {DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #955
6280  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
6281  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
6282  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 247, 2,  5, 1,  0, 0}, // #958
6283  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
6284  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 244, 1, 137, 1,  0, 0}, // #960
6285  {DBGFIELD("FCMGEv1i16rz")       1, false, false, 244, 1, 137, 1,  0, 0}, // #961
6286  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #962
6287  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 356, 1,  5, 1,  0, 0}, // #963
6288  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 356, 1,  5, 1,  0, 0}, // #964
6289  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
6290  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
6291  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
6292  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
6293  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
6294  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
6295  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 252, 1,  8, 1,  0, 0}, // #971
6296  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
6297  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
6298  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
6299  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
6300  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
6301  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
6302  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
6303  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
6304  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
6305  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
6306  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
6307  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
6308  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
6309  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
6310  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
6311  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
6312  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
6313  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
6314  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
6315  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
6316  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
6317  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
6318  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
6319  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
6320  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
6321  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
6322  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
6323  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
6324  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
6325  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
6326  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
6327  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
6328  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
6329  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
6330  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
6331  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
6332  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
6333  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
6334  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
6335  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
6336  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
6337  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
6338  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 251, 1,  5, 1,  0, 0}, // #1014
6339  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
6340  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
6341  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
6342  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
6343  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
6344  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
6345  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
6346  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
6347  {DBGFIELD("M3WriteA1_ReadExtrHi") 1, false, false, 97, 1,  5, 1,  0, 1}, // #1023
6348  {DBGFIELD("M3WriteAA_ReadExtrHi") 1, false, false, 27, 1,  1, 1,  0, 1}, // #1024
6349  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
6350  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
6351  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
6352  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
6353  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
6354  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
6355  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
6356  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
6357  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
6358  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
6359  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
6360  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
6361  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
6362  {DBGFIELD("WriteST_ReadDefault") 1, false, false, 251, 1,  5, 1,  0, 0}, // #1038
6363  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
6364  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
6365  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
6366  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
6367  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
6368  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
6369  {DBGFIELD("M3WriteNALU1")       1, false, false, 244, 1,  5, 1,  0, 0}, // #1045
6370  {DBGFIELD("M3WriteZ0")          1, false, false,  0, 0,  0, 1,  0, 0}, // #1046
6371  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
6372  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
6373  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
6374  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
6375  {DBGFIELD("M3WriteAC")          3, false, false, 267, 2,  1, 1,  0, 0}, // #1051
6376  {DBGFIELD("M3WriteAB")          2, false, false, 381, 2,  5, 1,  0, 0}, // #1052
6377  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
6378  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
6379  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
6380  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
6381  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
6382  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
6383  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
6384  {DBGFIELD("M3WriteA1")          1, false, false, 97, 1,  5, 1,  0, 0}, // #1060
6385  {DBGFIELD("M3WriteAA")          1, false, false, 27, 1,  1, 1,  0, 0}, // #1061
6386  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
6387  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
6388  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
6389  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
6390  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
6391  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
6392  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
6393  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
6394  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
6395  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
6396  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
6397  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
6398  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
6399  {DBGFIELD("M3WriteLE_ReadDefault") 2, false, false, 253, 3, 21, 1,  0, 0}, // #1075
6400  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
6401  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
6402  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
6403  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
6404  {DBGFIELD("WriteVLD_ReadDefault") 1, false, false, 252, 1, 10, 1,  0, 0}, // #1080
6405  {DBGFIELD("M3WriteLB_ReadDefault") 2, false, false, 253, 3, 10, 1,  0, 0}, // #1081
6406  {DBGFIELD("M3WriteL5_ReadDefault") 1, false, false, 252, 1, 10, 1,  0, 0}, // #1082
6407  {DBGFIELD("M3WriteL4_ReadDefault") 1, false, false, 252, 1,  8, 1,  0, 0}, // #1083
6408  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
6409  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
6410  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
6411  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
6412  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
6413  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
6414  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
6415  {DBGFIELD("M3WriteSA_ReadDefault") 2, false, false, 377, 4, 137, 1,  0, 0}, // #1091
6416  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
6417  {DBGFIELD("WriteVST_ReadDefault") 1, false, false, 307, 2,  5, 1,  0, 0}, // #1093
6418  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
6419  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
6420  {DBGFIELD("M3WriteSB_ReadDefault") 2, false, false, 264, 3,  1, 1,  0, 0}, // #1096
6421  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
6422  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
6423  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
6424  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
6425  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
6426  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
6427  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
6428  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
6429  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
6430  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
6431  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
6432  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
6433  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
6434}; // ExynosM3ModelSchedClasses
6435
6436// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
6437static const llvm::MCSchedClassDesc ExynosM4ModelSchedClasses[] = {
6438  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
6439  {DBGFIELD("WriteV")             1, false, false, 385, 1,  5, 1,  0, 0}, // #1
6440  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 24, 1,  5, 1,  1, 2}, // #2
6441  {DBGFIELD("WriteI_ReadI")       1, false, false, 24, 1,  5, 1,  0, 1}, // #3
6442  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 98, 1,  1, 1,  1, 2}, // #4
6443  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 98, 1,  1, 1,  1, 2}, // #5
6444  {DBGFIELD("WriteAdr")           0, false, false,  0, 0,  5, 1,  0, 0}, // #6
6445  {DBGFIELD("WriteI")             1, false, false, 24, 1,  5, 1,  0, 0}, // #7
6446  {DBGFIELD("WriteIS_ReadI")      1, false, false, 24, 1,  5, 1,  0, 1}, // #8
6447  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  5, 1,  0, 0}, // #9
6448  {DBGFIELD("WriteBr")            1, false, false,  0, 0,  0, 1,  0, 0}, // #10
6449  {DBGFIELD("WriteBrReg")         1, false, false, 226, 2,  5, 1,  0, 0}, // #11
6450  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
6451  {DBGFIELD("WriteBarrier")       1, false, false,  0, 0,  5, 1,  0, 0}, // #13
6452  {DBGFIELD("WriteExtr_ReadExtrHi") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #14
6453  {DBGFIELD("WriteF")             1, false, false, 14, 1,  1, 1,  0, 0}, // #15
6454  {DBGFIELD("WriteFCmp")          1, false, false, 386, 2,  1, 1,  0, 0}, // #16
6455  {DBGFIELD("WriteFCvt")          1, false, false, 388, 1,  1, 1,  0, 0}, // #17
6456  {DBGFIELD("WriteFDiv")          1, false, false, 389, 1, 201, 1,  0, 0}, // #18
6457  {DBGFIELD("WriteFMul")          1, false, false, 307, 1, 137, 1,  0, 0}, // #19
6458  {DBGFIELD("WriteFCopy")         1, false, false, 385, 1,  5, 1,  0, 0}, // #20
6459  {DBGFIELD("WriteFImm")          1, false, false, 385, 1,  5, 1,  0, 0}, // #21
6460  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  5, 1,  0, 0}, // #22
6461  {DBGFIELD("WriteST")            1, false, false, 390, 1,  5, 1,  0, 0}, // #23
6462  {DBGFIELD("WriteLD")            1, false, false, 353, 1,  8, 1,  0, 0}, // #24
6463  {DBGFIELD("WriteLD_WriteLDHi")  1, false, false, 353, 1, 11, 2,  0, 0}, // #25
6464  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 1, false, false, 353, 1, 13, 3,  0, 0}, // #26
6465  {DBGFIELD("WriteLD_WriteAdr")   1, false, false, 353, 1, 14, 2,  0, 0}, // #27
6466  {DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #28
6467  {DBGFIELD("WriteLDAdr")         1, false, false, 353, 1, 10, 1,  0, 0}, // #29
6468  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 226, 2, 137, 1, 93, 4}, // #30
6469  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 228, 2,  8, 1, 93, 4}, // #31
6470  {DBGFIELD("WriteImm")           1, false, false, 24, 1,  5, 1,  0, 0}, // #32
6471  {DBGFIELD("WriteAdrAdr")        0, false, false,  0, 0,  1, 1,  0, 0}, // #33
6472  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 391, 2, 201, 1,  1, 2}, // #34
6473  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 393, 2, 226, 1,  1, 2}, // #35
6474  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 228, 2,  8, 1,  1, 2}, // #36
6475  {DBGFIELD("WriteSTP")           1, false, false, 390, 1,  5, 1,  0, 0}, // #37
6476  {DBGFIELD("WriteAdr_WriteSTP")  1, false, false, 390, 1, 179, 2,  0, 0}, // #38
6477  {DBGFIELD("WriteAdr_WriteST")   1, false, false, 390, 1, 179, 2,  0, 0}, // #39
6478  {DBGFIELD("WriteSTX")           1, false, false, 390, 1,  5, 1,  0, 0}, // #40
6479  {DBGFIELD("WriteSTIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #41
6480  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 395, 3, 181, 4,  0, 0}, // #42
6481  {DBGFIELD("COPY")               16382, false, false,  0, 0,  0, 0,  0, 0}, // #43
6482  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 398, 2, 21, 1,  0, 0}, // #44
6483  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 353, 1, 10, 1,  0, 0}, // #45
6484  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 353, 1, 10, 1,  0, 0}, // #46
6485  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 398, 1, 10, 1,  0, 0}, // #47
6486  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 400, 1, 21, 1,  0, 0}, // #48
6487  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 401, 1, 21, 1,  0, 0}, // #49
6488  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 402, 3, 21, 2,  0, 0}, // #50
6489  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 405, 2, 39, 2,  0, 0}, // #51
6490  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 405, 2, 39, 2,  0, 0}, // #52
6491  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 402, 2, 39, 2,  0, 0}, // #53
6492  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 407, 2, 21, 2,  0, 0}, // #54
6493  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 409, 2, 21, 2,  0, 0}, // #55
6494  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 411, 2, 21, 1,  0, 0}, // #56
6495  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 398, 1, 10, 1,  0, 0}, // #57
6496  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 413, 1, 117, 1,  0, 0}, // #58
6497  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 413, 1, 117, 1,  0, 0}, // #59
6498  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 414, 3, 21, 2,  0, 0}, // #60
6499  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 3, false, false, 402, 2, 39, 2,  0, 0}, // #61
6500  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 417, 2, 185, 2,  0, 0}, // #62
6501  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 417, 2, 185, 2,  0, 0}, // #63
6502  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 419, 2, 31, 1,  0, 0}, // #64
6503  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 400, 1, 21, 1,  0, 0}, // #65
6504  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 419, 1, 201, 1,  0, 0}, // #66
6505  {DBGFIELD("LD3Threev2d")        3, false, false, 419, 1, 201, 1,  0, 0}, // #67
6506  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 421, 3, 37, 2,  0, 0}, // #68
6507  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 4, false, false, 407, 2, 21, 2,  0, 0}, // #69
6508  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 4, false, false, 421, 2, 209, 2,  0, 0}, // #70
6509  {DBGFIELD("LD3Threev2d_POST")   4, false, false, 421, 2, 209, 2,  0, 0}, // #71
6510  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 424, 2, 31, 1,  0, 0}, // #72
6511  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 401, 1, 21, 1,  0, 0}, // #73
6512  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 4, false, false, 426, 1, 211, 1,  0, 0}, // #74
6513  {DBGFIELD("LD4Fourv2d")         4, false, false, 426, 1, 211, 1,  0, 0}, // #75
6514  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 7, false, false, 427, 3, 37, 2,  0, 0}, // #76
6515  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 409, 2, 21, 2,  0, 0}, // #77
6516  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 430, 2, 212, 2,  0, 0}, // #78
6517  {DBGFIELD("LD4Fourv2d_POST")    5, false, false, 430, 2, 212, 2,  0, 0}, // #79
6518  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 432, 2,  5, 1,  0, 0}, // #80
6519  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 432, 2,  5, 1,  0, 0}, // #81
6520  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 434, 2,  1, 1,  0, 0}, // #82
6521  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 436, 2, 137, 1,  0, 0}, // #83
6522  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 438, 2,  8, 1,  0, 0}, // #84
6523  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 440, 3, 179, 2,  0, 0}, // #85
6524  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 440, 3, 179, 2,  0, 0}, // #86
6525  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #87
6526  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 4, false, false, 446, 3, 190, 2,  0, 0}, // #88
6527  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 5, false, false, 449, 3, 14, 2,  0, 0}, // #89
6528  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 432, 2,  1, 1,  0, 0}, // #90
6529  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 432, 2,  1, 1,  0, 0}, // #91
6530  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 2, false, false, 434, 2,  1, 1,  0, 0}, // #92
6531  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 440, 3, 192, 2,  0, 0}, // #93
6532  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 440, 3, 192, 2,  0, 0}, // #94
6533  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #95
6534  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 2, false, false, 434, 2,  1, 1,  0, 0}, // #96
6535  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 6, false, false, 452, 3, 10, 1,  0, 0}, // #97
6536  {DBGFIELD("ST3Threev2d")        6, false, false, 452, 3, 10, 1,  0, 0}, // #98
6537  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #99
6538  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 7, false, false, 455, 4, 39, 2,  0, 0}, // #100
6539  {DBGFIELD("ST3Threev2d_POST")   7, false, false, 455, 4, 39, 2,  0, 0}, // #101
6540  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 2, false, false, 434, 2,  1, 1,  0, 0}, // #102
6541  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 5, false, false, 459, 3, 26, 1,  0, 0}, // #103
6542  {DBGFIELD("ST4Fourv2d")         5, false, false, 459, 3, 26, 1,  0, 0}, // #104
6543  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #105
6544  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 6, false, false, 462, 4, 111, 2,  0, 0}, // #106
6545  {DBGFIELD("ST4Fourv2d_POST")    6, false, false, 462, 4, 111, 2,  0, 0}, // #107
6546  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 368, 2, 227, 1, 101, 3}, // #108
6547  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 385, 1,  5, 1,  0, 0}, // #109
6548  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
6549  {DBGFIELD("FDIVSrr")            1, false, false, 466, 1, 31, 1,  0, 0}, // #111
6550  {DBGFIELD("FDIVDrr")            1, false, false, 389, 1, 201, 1,  0, 0}, // #112
6551  {DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 467, 1, 31, 1,  0, 0}, // #113
6552  {DBGFIELD("FDIVv2f64")          1, false, false, 468, 1, 201, 1,  0, 0}, // #114
6553  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 307, 1, 228, 1,  0, 0}, // #115
6554  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 307, 1, 228, 1,  0, 0}, // #116
6555  {DBGFIELD("BL")                 2, false, false, 24, 1,  1, 1,  0, 0}, // #117
6556  {DBGFIELD("BLR")                16382, false, false,  0, 0,  0, 0,  0, 0}, // #118
6557  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
6558  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false, 228, 2,  8, 1,  1, 2}, // #120
6559  {DBGFIELD("EXTRWrri")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #121
6560  {DBGFIELD("EXTRXrri")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #122
6561  {DBGFIELD("BFMWri_BFMXri")      1, false, false, 24, 1,  5, 1,  0, 1}, // #123
6562  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 469, 1, 229, 1,  0, 0}, // #124
6563  {DBGFIELD("AESDrr_AESErr")      1, false, false, 469, 1, 229, 1,  0, 0}, // #125
6564  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 469, 1, 229, 1, 104, 1}, // #126
6565  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 469, 1, 229, 1, 104, 1}, // #127
6566  {DBGFIELD("SHA1SU0rrr")         1, false, false, 470, 3,  5, 1,  0, 0}, // #128
6567  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false, 470, 3,  5, 1,  0, 0}, // #129
6568  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 470, 3,  5, 1,  0, 0}, // #130
6569  {DBGFIELD("SHA256SU0rr")        1, false, false, 470, 3,  5, 1,  0, 0}, // #131
6570  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 385, 1,  5, 1,  0, 0}, // #132
6571  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 473, 2,  1, 1,  0, 0}, // #133
6572  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 398, 2, 21, 1,  0, 0}, // #134
6573  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 402, 3, 21, 2,  0, 0}, // #135
6574  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 353, 1, 10, 1,  0, 0}, // #136
6575  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 405, 2, 39, 2,  0, 0}, // #137
6576  {DBGFIELD("LD1Rv1d")            1, false, false, 353, 1, 10, 1,  0, 0}, // #138
6577  {DBGFIELD("LD1Rv1d_POST")       2, false, false, 405, 2, 39, 2,  0, 0}, // #139
6578  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 353, 1, 10, 1,  0, 0}, // #140
6579  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 405, 2, 39, 2,  0, 0}, // #141
6580  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 398, 1, 10, 1,  0, 0}, // #142
6581  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 3, false, false, 402, 2, 39, 2,  0, 0}, // #143
6582  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 400, 1, 21, 1,  0, 0}, // #144
6583  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 4, false, false, 407, 2, 21, 2,  0, 0}, // #145
6584  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 401, 1, 21, 1,  0, 0}, // #146
6585  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 409, 2, 21, 2,  0, 0}, // #147
6586  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 411, 2, 21, 1,  0, 0}, // #148
6587  {DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 414, 3, 21, 2,  0, 0}, // #149
6588  {DBGFIELD("LD2i32")             3, false, false, 411, 2, 21, 1,  0, 0}, // #150
6589  {DBGFIELD("LD2i32_POST")        4, false, false, 414, 3, 21, 2,  0, 0}, // #151
6590  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 398, 1, 10, 1,  0, 0}, // #152
6591  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 402, 2, 39, 2,  0, 0}, // #153
6592  {DBGFIELD("LD2Rv1d")            2, false, false, 398, 1, 10, 1,  0, 0}, // #154
6593  {DBGFIELD("LD2Rv1d_POST")       3, false, false, 402, 2, 39, 2,  0, 0}, // #155
6594  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 413, 1, 117, 1,  0, 0}, // #156
6595  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 417, 2, 185, 2,  0, 0}, // #157
6596  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 475, 2, 31, 1,  0, 0}, // #158
6597  {DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 477, 3, 37, 2,  0, 0}, // #159
6598  {DBGFIELD("LD3i32")             4, false, false, 475, 2, 31, 1,  0, 0}, // #160
6599  {DBGFIELD("LD3i32_POST")        5, false, false, 477, 3, 37, 2,  0, 0}, // #161
6600  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 400, 1, 21, 1,  0, 0}, // #162
6601  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 407, 2, 21, 2,  0, 0}, // #163
6602  {DBGFIELD("LD3Rv1d")            3, false, false, 400, 1, 21, 1,  0, 0}, // #164
6603  {DBGFIELD("LD3Rv1d_POST")       4, false, false, 407, 2, 21, 2,  0, 0}, // #165
6604  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 400, 1, 21, 1,  0, 0}, // #166
6605  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 4, false, false, 407, 2, 21, 2,  0, 0}, // #167
6606  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 419, 1, 201, 1,  0, 0}, // #168
6607  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 4, false, false, 421, 2, 209, 2,  0, 0}, // #169
6608  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 480, 2, 31, 1,  0, 0}, // #170
6609  {DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 482, 3, 37, 2,  0, 0}, // #171
6610  {DBGFIELD("LD4i32")             5, false, false, 480, 2, 31, 1,  0, 0}, // #172
6611  {DBGFIELD("LD4i32_POST")        6, false, false, 482, 3, 37, 2,  0, 0}, // #173
6612  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 401, 1, 21, 1,  0, 0}, // #174
6613  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 409, 2, 21, 2,  0, 0}, // #175
6614  {DBGFIELD("LD4Rv1d")            4, false, false, 401, 1, 21, 1,  0, 0}, // #176
6615  {DBGFIELD("LD4Rv1d_POST")       5, false, false, 409, 2, 21, 2,  0, 0}, // #177
6616  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 401, 1, 21, 1,  0, 0}, // #178
6617  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 409, 2, 21, 2,  0, 0}, // #179
6618  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 426, 1, 211, 1,  0, 0}, // #180
6619  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 430, 2, 212, 2,  0, 0}, // #181
6620  {DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 432, 2,  5, 1,  0, 0}, // #182
6621  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 440, 3, 179, 2,  0, 0}, // #183
6622  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 432, 2,  5, 1,  0, 0}, // #184
6623  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 440, 3, 179, 2,  0, 0}, // #185
6624  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 434, 2,  1, 1,  0, 0}, // #186
6625  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #187
6626  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 436, 2, 137, 1,  0, 0}, // #188
6627  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 446, 3, 190, 2,  0, 0}, // #189
6628  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 438, 2,  8, 1,  0, 0}, // #190
6629  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 449, 3, 14, 2,  0, 0}, // #191
6630  {DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 432, 2,  1, 1,  0, 0}, // #192
6631  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 440, 3, 192, 2,  0, 0}, // #193
6632  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 2, false, false, 434, 2,  1, 1,  0, 0}, // #194
6633  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #195
6634  {DBGFIELD("ST3i16_ST3i8")       2, false, false, 434, 2,  1, 1,  0, 0}, // #196
6635  {DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #197
6636  {DBGFIELD("ST3i32")             2, false, false, 434, 2,  1, 1,  0, 0}, // #198
6637  {DBGFIELD("ST3i32_POST")        3, false, false, 443, 3, 192, 2,  0, 0}, // #199
6638  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 4, false, false, 485, 3,  8, 1,  0, 0}, // #200
6639  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 5, false, false, 488, 4, 14, 2,  0, 0}, // #201
6640  {DBGFIELD("ST4i16_ST4i8")       2, false, false, 434, 2,  1, 1,  0, 0}, // #202
6641  {DBGFIELD("ST4i16_POST_ST4i8_POST") 3, false, false, 443, 3, 192, 2,  0, 0}, // #203
6642  {DBGFIELD("ST4i32")             2, false, false, 434, 2,  1, 1,  0, 0}, // #204
6643  {DBGFIELD("ST4i32_POST")        3, false, false, 443, 3, 192, 2,  0, 0}, // #205
6644  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 4, false, false, 492, 3,  8, 1,  0, 0}, // #206
6645  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 5, false, false, 495, 4, 14, 2,  0, 0}, // #207
6646  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #208
6647  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #209
6648  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
6649  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #211
6650  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #212
6651  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #213
6652  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #214
6653  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #215
6654  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #216
6655  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #217
6656  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 470, 3,  5, 1,  0, 0}, // #218
6657  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 470, 3,  5, 1,  0, 0}, // #219
6658  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 501, 1, 230, 1, 105, 1}, // #220
6659  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #221
6660  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
6661  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 230, 1, 106, 1}, // #223
6662  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
6663  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #225
6664  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 470, 3, 137, 1,  0, 0}, // #226
6665  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 470, 3, 137, 1,  0, 0}, // #227
6666  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
6667  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #229
6668  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
6669  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #231
6670  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
6671  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #233
6672  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
6673  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #235
6674  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 503, 1,  5, 1,  0, 0}, // #236
6675  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 502, 3,  8, 1,  0, 0}, // #237
6676  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 502, 3,  8, 1,  0, 0}, // #238
6677  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 385, 1,  5, 1,  0, 0}, // #239
6678  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 14, 1,  1, 1,  0, 0}, // #240
6679  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 14, 1,  1, 1,  0, 0}, // #241
6680  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 14, 1,  1, 1,  0, 0}, // #242
6681  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #243
6682  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #244
6683  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 388, 1,  1, 1,  0, 0}, // #245
6684  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 505, 4,  1, 1,  0, 0}, // #246
6685  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 505, 4,  1, 1,  0, 0}, // #247
6686  {DBGFIELD("FDIVv2f32")          1, false, false, 466, 1, 31, 1,  0, 0}, // #248
6687  {DBGFIELD("FSQRTv2f32")         1, false, false, 509, 1, 26, 1,  0, 0}, // #249
6688  {DBGFIELD("FSQRTv4f32")         1, false, false, 510, 1, 26, 1,  0, 0}, // #250
6689  {DBGFIELD("FSQRTv2f64")         1, false, false, 511, 1, 201, 1,  0, 0}, // #251
6690  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #252
6691  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #253
6692  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #254
6693  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 386, 2,  1, 1,  0, 0}, // #255
6694  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #256
6695  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 307, 1, 137, 1,  0, 0}, // #257
6696  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 307, 1, 137, 1,  0, 0}, // #258
6697  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #259
6698  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #260
6699  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 505, 4, 137, 1,  0, 0}, // #261
6700  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 505, 4, 137, 1,  0, 0}, // #262
6701  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #263
6702  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 1, false, false, 399, 1,  5, 1,  0, 0}, // #264
6703  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 399, 1,  5, 1,  0, 0}, // #265
6704  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 512, 3, 10, 1,  0, 0}, // #266
6705  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
6706  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 502, 3,  8, 1,  0, 0}, // #268
6707  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 388, 1, 137, 1,  0, 0}, // #269
6708  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 388, 1, 137, 1,  0, 0}, // #270
6709  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 388, 1, 137, 1,  0, 0}, // #271
6710  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 388, 1, 137, 1,  0, 0}, // #272
6711  {DBGFIELD("FRSQRTEv2f64")       1, false, false, 388, 1, 137, 1,  0, 0}, // #273
6712  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 388, 1, 137, 1,  0, 0}, // #274
6713  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 307, 1, 228, 1,  0, 0}, // #275
6714  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 307, 1, 228, 1,  0, 0}, // #276
6715  {DBGFIELD("FRSQRTS64")          1, false, false, 307, 1, 228, 1,  0, 0}, // #277
6716  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 307, 1, 228, 1,  0, 0}, // #278
6717  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 412, 1,  5, 1,  0, 0}, // #279
6718  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 2, false, false, 412, 1,  1, 1,  0, 0}, // #280
6719  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 425, 1, 137, 1,  0, 0}, // #281
6720  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 4, false, false, 425, 1,  8, 1,  0, 0}, // #282
6721  {DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 412, 1,  5, 1,  0, 0}, // #283
6722  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 2, false, false, 412, 1,  1, 1,  0, 0}, // #284
6723  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 3, false, false, 425, 1, 137, 1,  0, 0}, // #285
6724  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 4, false, false, 425, 1,  8, 1,  0, 0}, // #286
6725  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 515, 3,  8, 1,  0, 0}, // #287
6726  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 512, 3, 10, 1,  0, 0}, // #288
6727  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1,  5, 1,  0, 0}, // #289
6728  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 14, 1,  1, 1,  0, 0}, // #290
6729  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 307, 1, 228, 1, 101, 3}, // #291
6730  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 518, 6,  8, 1,  0, 0}, // #292
6731  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 385, 1,  5, 1,  0, 0}, // #293
6732  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 505, 4, 21, 1,  0, 0}, // #294
6733  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
6734  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 385, 1,  5, 1,  0, 0}, // #296
6735  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 386, 2,  5, 1,  0, 0}, // #297
6736  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 505, 4, 137, 1,  0, 0}, // #298
6737  {DBGFIELD("FSQRTDr")            1, false, false, 524, 1, 201, 1,  0, 0}, // #299
6738  {DBGFIELD("FSQRTSr")            1, false, false, 509, 1, 26, 1,  0, 0}, // #300
6739  {DBGFIELD("LDNPDi")             1, false, false, 353, 1, 32, 2,  0, 0}, // #301
6740  {DBGFIELD("LDNPQi")             1, false, false, 398, 1, 32, 2,  0, 0}, // #302
6741  {DBGFIELD("LDNPSi")             1, false, false, 353, 1, 32, 2,  0, 0}, // #303
6742  {DBGFIELD("LDPDi")              1, false, false, 353, 1, 32, 2,  0, 0}, // #304
6743  {DBGFIELD("LDPDpost")           1, false, false, 353, 1, 43, 3,  0, 0}, // #305
6744  {DBGFIELD("LDPDpre")            2, false, false, 525, 3, 43, 3,  0, 0}, // #306
6745  {DBGFIELD("LDPQi")              1, false, false, 398, 1, 32, 2,  0, 0}, // #307
6746  {DBGFIELD("LDPQpost")           2, false, false, 525, 3, 43, 3,  0, 0}, // #308
6747  {DBGFIELD("LDPQpre")            2, false, false, 528, 3, 43, 3,  0, 0}, // #309
6748  {DBGFIELD("LDPSWi")             1, false, false, 353, 1, 11, 2,  0, 0}, // #310
6749  {DBGFIELD("LDPSWpost")          2, false, false, 525, 3, 13, 3,  0, 0}, // #311
6750  {DBGFIELD("LDPSWpre")           2, false, false, 525, 3, 13, 3,  0, 0}, // #312
6751  {DBGFIELD("LDPSi")              1, false, false, 353, 1, 32, 2,  0, 0}, // #313
6752  {DBGFIELD("LDPSpost")           1, false, false, 353, 1, 43, 3,  0, 0}, // #314
6753  {DBGFIELD("LDPSpre")            2, false, false, 525, 3, 43, 3,  0, 0}, // #315
6754  {DBGFIELD("LDRBpost")           1, false, false, 353, 1, 39, 2,  0, 0}, // #316
6755  {DBGFIELD("LDRBpre")            1, false, false, 353, 1, 39, 2,  0, 0}, // #317
6756  {DBGFIELD("LDRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #318
6757  {DBGFIELD("LDRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #319
6758  {DBGFIELD("LDRBui")             1, false, false, 353, 1, 10, 1,  0, 0}, // #320
6759  {DBGFIELD("LDRDl")              1, false, false, 353, 1, 10, 1,  0, 0}, // #321
6760  {DBGFIELD("LDRDpost")           1, false, false, 353, 1, 39, 2,  0, 0}, // #322
6761  {DBGFIELD("LDRDpre")            1, false, false, 353, 1, 39, 2,  0, 0}, // #323
6762  {DBGFIELD("LDRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #324
6763  {DBGFIELD("LDRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #325
6764  {DBGFIELD("LDRDui")             1, false, false, 353, 1, 10, 1,  0, 0}, // #326
6765  {DBGFIELD("LDRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #327
6766  {DBGFIELD("LDRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #328
6767  {DBGFIELD("LDRHpost")           1, false, false, 353, 1, 39, 2,  0, 0}, // #329
6768  {DBGFIELD("LDRHpre")            1, false, false, 353, 1, 39, 2,  0, 0}, // #330
6769  {DBGFIELD("LDRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #331
6770  {DBGFIELD("LDRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #332
6771  {DBGFIELD("LDRHui")             1, false, false, 353, 1, 10, 1,  0, 0}, // #333
6772  {DBGFIELD("LDRQl")              1, false, false, 353, 1, 10, 1,  0, 0}, // #334
6773  {DBGFIELD("LDRQpost")           1, false, false, 353, 1, 39, 2,  0, 0}, // #335
6774  {DBGFIELD("LDRQpre")            1, false, false, 353, 1, 39, 2,  0, 0}, // #336
6775  {DBGFIELD("LDRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #337
6776  {DBGFIELD("LDRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #338
6777  {DBGFIELD("LDRQui")             1, false, false, 353, 1, 10, 1,  0, 0}, // #339
6778  {DBGFIELD("LDRSHWroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #340
6779  {DBGFIELD("LDRSHWroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #341
6780  {DBGFIELD("LDRSHXroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #342
6781  {DBGFIELD("LDRSHXroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #343
6782  {DBGFIELD("LDRSl")              1, false, false, 353, 1, 10, 1,  0, 0}, // #344
6783  {DBGFIELD("LDRSpost")           1, false, false, 353, 1, 39, 2,  0, 0}, // #345
6784  {DBGFIELD("LDRSpre")            1, false, false, 353, 1, 39, 2,  0, 0}, // #346
6785  {DBGFIELD("LDRSroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #347
6786  {DBGFIELD("LDRSroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #348
6787  {DBGFIELD("LDRSui")             1, false, false, 353, 1, 10, 1,  0, 0}, // #349
6788  {DBGFIELD("LDURBi")             1, false, false, 353, 1, 10, 1,  0, 0}, // #350
6789  {DBGFIELD("LDURDi")             1, false, false, 353, 1, 10, 1,  0, 0}, // #351
6790  {DBGFIELD("LDURHi")             1, false, false, 353, 1, 10, 1,  0, 0}, // #352
6791  {DBGFIELD("LDURQi")             1, false, false, 353, 1, 10, 1,  0, 0}, // #353
6792  {DBGFIELD("LDURSi")             1, false, false, 353, 1, 10, 1,  0, 0}, // #354
6793  {DBGFIELD("STNPDi")             1, false, false, 432, 2,  5, 1,  0, 0}, // #355
6794  {DBGFIELD("STNPQi")             2, false, false, 531, 4,  5, 1,  0, 0}, // #356
6795  {DBGFIELD("STNPXi")             1, false, false, 390, 1,  5, 1,  0, 0}, // #357
6796  {DBGFIELD("STPDi")              1, false, false, 432, 2,  5, 1,  0, 0}, // #358
6797  {DBGFIELD("STPDpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #359
6798  {DBGFIELD("STPDpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #360
6799  {DBGFIELD("STPQi")              2, false, false, 531, 4,  5, 1,  0, 0}, // #361
6800  {DBGFIELD("STPQpost")           2, false, false, 531, 4, 179, 2,  0, 0}, // #362
6801  {DBGFIELD("STPQpre")            2, false, false, 531, 4, 179, 2,  0, 0}, // #363
6802  {DBGFIELD("STPSpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #364
6803  {DBGFIELD("STPSpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #365
6804  {DBGFIELD("STPWpost")           1, false, false, 390, 1, 179, 2,  0, 0}, // #366
6805  {DBGFIELD("STPWpre")            1, false, false, 390, 1, 179, 2,  0, 0}, // #367
6806  {DBGFIELD("STPXi")              1, false, false, 390, 1,  5, 1,  0, 0}, // #368
6807  {DBGFIELD("STPXpost")           1, false, false, 390, 1, 179, 2,  0, 0}, // #369
6808  {DBGFIELD("STPXpre")            1, false, false, 390, 1, 179, 2,  0, 0}, // #370
6809  {DBGFIELD("STRBBpost")          1, false, false, 390, 1, 179, 2,  0, 0}, // #371
6810  {DBGFIELD("STRBBpre")           1, false, false, 390, 1, 179, 2,  0, 0}, // #372
6811  {DBGFIELD("STRBpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #373
6812  {DBGFIELD("STRBpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #374
6813  {DBGFIELD("STRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #375
6814  {DBGFIELD("STRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #376
6815  {DBGFIELD("STRDpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #377
6816  {DBGFIELD("STRDpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #378
6817  {DBGFIELD("STRHHpost")          1, false, false, 390, 1, 179, 2,  0, 0}, // #379
6818  {DBGFIELD("STRHHpre")           1, false, false, 390, 1, 179, 2,  0, 0}, // #380
6819  {DBGFIELD("STRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #381
6820  {DBGFIELD("STRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #382
6821  {DBGFIELD("STRHpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #383
6822  {DBGFIELD("STRHpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #384
6823  {DBGFIELD("STRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #385
6824  {DBGFIELD("STRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #386
6825  {DBGFIELD("STRQpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #387
6826  {DBGFIELD("STRQpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #388
6827  {DBGFIELD("STRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #389
6828  {DBGFIELD("STRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #390
6829  {DBGFIELD("STRQui")             1, false, false, 432, 2,  5, 1,  0, 0}, // #391
6830  {DBGFIELD("STRSpost")           1, false, false, 432, 2, 179, 2,  0, 0}, // #392
6831  {DBGFIELD("STRSpre")            1, false, false, 432, 2, 179, 2,  0, 0}, // #393
6832  {DBGFIELD("STRWpost")           1, false, false, 390, 1, 179, 2,  0, 0}, // #394
6833  {DBGFIELD("STRWpre")            1, false, false, 390, 1, 179, 2,  0, 0}, // #395
6834  {DBGFIELD("STRXpost")           1, false, false, 390, 1, 179, 2,  0, 0}, // #396
6835  {DBGFIELD("STRXpre")            1, false, false, 390, 1, 179, 2,  0, 0}, // #397
6836  {DBGFIELD("STURQi")             1, false, false, 432, 2,  5, 1,  0, 0}, // #398
6837  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false,  0, 0,  0, 1,  0, 0}, // #399
6838  {DBGFIELD("ANDWri_ANDXri")      1, false, false, 24, 1,  5, 1,  0, 1}, // #400
6839  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #401
6840  {DBGFIELD("ISB")                1, false, false,  0, 0,  5, 1,  0, 0}, // #402
6841  {DBGFIELD("ORRv16i8")           1, false, false, 385, 1,  5, 1,  0, 0}, // #403
6842  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 535, 4,  8, 1,  0, 0}, // #404
6843  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 399, 1,  5, 1,  0, 0}, // #405
6844  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #406
6845  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #407
6846  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #408
6847  {DBGFIELD("ADDVv16i8v")         1, false, false, 499, 2, 137, 1,  0, 0}, // #409
6848  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #410
6849  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #411
6850  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #412
6851  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #413
6852  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #414
6853  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #415
6854  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 386, 2,  1, 1,  0, 0}, // #416
6855  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 499, 2,  5, 1,  0, 0}, // #417
6856  {DBGFIELD("FADDPv2i32p")        1, false, false, 14, 1,  1, 1,  0, 0}, // #418
6857  {DBGFIELD("FADDPv2i64p")        1, false, false, 14, 1,  1, 1,  0, 0}, // #419
6858  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #420
6859  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #421
6860  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #422
6861  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false, 14, 1,  1, 1,  0, 0}, // #423
6862  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 385, 1,  5, 1,  0, 0}, // #424
6863  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 14, 1,  1, 1,  0, 0}, // #425
6864  {DBGFIELD("FADDPv4f32")         1, false, false, 14, 1,  1, 1,  0, 0}, // #426
6865  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #427
6866  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #428
6867  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
6868  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #430
6869  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #431
6870  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #432
6871  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
6872  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #434
6873  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 386, 2,  5, 1,  0, 0}, // #435
6874  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #436
6875  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #437
6876  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #438
6877  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 502, 3,  8, 1,  0, 0}, // #439
6878  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 502, 3,  8, 1,  0, 0}, // #440
6879  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 502, 3,  8, 1,  0, 0}, // #441
6880  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 502, 3,  8, 1,  0, 0}, // #442
6881  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #443
6882  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #444
6883  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #445
6884  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #446
6885  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 230, 1, 106, 1}, // #447
6886  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 307, 1, 137, 1,  0, 0}, // #448
6887  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 307, 1, 137, 1,  0, 0}, // #449
6888  {DBGFIELD("FMULX64")            1, false, false, 307, 1, 137, 1,  0, 0}, // #450
6889  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
6890  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 307, 1, 228, 1, 101, 3}, // #452
6891  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #453
6892  {DBGFIELD("FMLAv4f32")          1, false, false, 307, 1, 228, 1, 101, 3}, // #454
6893  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #455
6894  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 539, 2, 137, 1,  0, 0}, // #456
6895  {DBGFIELD("URSQRTEv2i32")       1, false, false, 388, 1, 137, 1,  0, 0}, // #457
6896  {DBGFIELD("URSQRTEv4i32")       1, false, false, 388, 1, 137, 1,  0, 0}, // #458
6897  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 539, 2, 137, 1,  0, 0}, // #459
6898  {DBGFIELD("FRECPSv2f32")        1, false, false, 307, 1, 228, 1,  0, 0}, // #460
6899  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 368, 2, 227, 1,  0, 0}, // #461
6900  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 307, 1, 228, 1,  0, 0}, // #462
6901  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 368, 2, 227, 1,  0, 0}, // #463
6902  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 388, 1,  1, 1,  0, 0}, // #464
6903  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 505, 4, 21, 1,  0, 0}, // #465
6904  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false, 469, 1, 229, 1, 104, 1}, // #466
6905  {DBGFIELD("SHA256SU1rrr")       1, false, false, 469, 1, 10, 1,  0, 0}, // #467
6906  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 385, 1,  5, 1,  0, 0}, // #468
6907  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #469
6908  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #470
6909  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #471
6910  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #472
6911  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 14, 1,  1, 1,  0, 0}, // #473
6912  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 505, 4,  1, 1,  0, 0}, // #474
6913  {DBGFIELD("FCVTXNv1i64")        1, false, false, 388, 1,  1, 1,  0, 0}, // #475
6914  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 307, 1, 137, 1,  0, 0}, // #476
6915  {DBGFIELD("FMULX32")            1, false, false, 307, 1, 137, 1,  0, 0}, // #477
6916  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 385, 1,  5, 1,  0, 0}, // #478
6917  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #479
6918  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #480
6919  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 388, 1,  1, 1,  0, 0}, // #481
6920  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 505, 4,  1, 1,  0, 0}, // #482
6921  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 388, 1,  1, 1,  0, 0}, // #483
6922  {DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 307, 1, 137, 1,  0, 0}, // #484
6923  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 388, 1,  1, 1,  0, 0}, // #485
6924  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #486
6925  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #487
6926  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #488
6927  {DBGFIELD("ADDPv2i64p")         1, false, false, 499, 2, 137, 1,  0, 0}, // #489
6928  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #490
6929  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #491
6930  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #492
6931  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #493
6932  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #494
6933  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 503, 1,  5, 1,  0, 0}, // #495
6934  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 503, 1,  5, 1,  0, 0}, // #496
6935  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #497
6936  {DBGFIELD("SSHRd_USHRd")        1, false, false, 503, 1,  5, 1,  0, 0}, // #498
6937  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #499
6938  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #500
6939  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #501
6940  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2,  1, 1,  0, 0}, // #502
6941  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #503
6942  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #504
6943  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #505
6944  {DBGFIELD("SHLd")               1, false, false, 503, 1,  5, 1,  0, 0}, // #506
6945  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #507
6946  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #508
6947  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 499, 2,  5, 1,  0, 0}, // #509
6948  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #510
6949  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #511
6950  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #512
6951  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #513
6952  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #514
6953  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 502, 3,  8, 1,  0, 0}, // #515
6954  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #516
6955  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #517
6956  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #518
6957  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #519
6958  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #520
6959  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #521
6960  {DBGFIELD("ADDVv4i16v")         1, false, false, 499, 2, 137, 1,  0, 0}, // #522
6961  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #523
6962  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #524
6963  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #525
6964  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 501, 1, 230, 1, 105, 1}, // #526
6965  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #527
6966  {DBGFIELD("ADDVv4i32v")         1, false, false, 499, 2, 137, 1,  0, 0}, // #528
6967  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #529
6968  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #530
6969  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #531
6970  {DBGFIELD("ADDPv2i64")          1, false, false, 499, 2, 137, 1,  0, 0}, // #532
6971  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #533
6972  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #534
6973  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #535
6974  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #536
6975  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #537
6976  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 503, 1,  1, 1,  0, 0}, // #538
6977  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #539
6978  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #540
6979  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #541
6980  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #542
6981  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #543
6982  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 503, 1,  1, 1,  0, 0}, // #544
6983  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 499, 2,  5, 1,  0, 0}, // #545
6984  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #546
6985  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #547
6986  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #548
6987  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #549
6988  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 230, 1, 106, 1}, // #550
6989  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #551
6990  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #552
6991  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #553
6992  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 385, 1,  5, 1,  0, 0}, // #554
6993  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 501, 1, 230, 1, 106, 1}, // #555
6994  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 24, 1,  5, 1,  0, 1}, // #556
6995  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #557
6996  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #558
6997  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 24, 1,  5, 1,  0, 1}, // #559
6998  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #560
6999  {DBGFIELD("ADDXrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #561
7000  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #562
7001  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false, 24, 1,  5, 1,  0, 1}, // #563
7002  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #564
7003  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #565
7004  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #566
7005  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #567
7006  {DBGFIELD("EONWrr_EONXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #568
7007  {DBGFIELD("EONWrs_EONXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #569
7008  {DBGFIELD("EORWri_EORXri")      1, false, false, 24, 1,  5, 1,  0, 1}, // #570
7009  {DBGFIELD("EORWrr_EORXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #571
7010  {DBGFIELD("EORWrs_EORXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #572
7011  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #573
7012  {DBGFIELD("ORNWrs_ORNXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #574
7013  {DBGFIELD("ORRWri_ORRXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #575
7014  {DBGFIELD("ORRWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #576
7015  {DBGFIELD("ORRWrs_ORRXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #577
7016  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #578
7017  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 24, 1,  5, 1,  0, 1}, // #579
7018  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #580
7019  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
7020  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #582
7021  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #583
7022  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 512, 3, 10, 1,  0, 0}, // #584
7023  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 399, 1,  5, 1,  0, 0}, // #585
7024  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 512, 3, 10, 1,  0, 0}, // #586
7025  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 399, 1,  5, 1,  0, 0}, // #587
7026  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 512, 3, 10, 1,  0, 0}, // #588
7027  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #589
7028  {DBGFIELD("EXTv8i8")            1, false, false, 399, 1,  5, 1,  0, 0}, // #590
7029  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #591
7030  {DBGFIELD("TBLv8i8One")         1, false, false, 412, 1,  5, 1,  0, 0}, // #592
7031  {DBGFIELD("NOTv8i8")            1, false, false, 385, 1,  5, 1,  0, 0}, // #593
7032  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 399, 1,  5, 1,  0, 0}, // #594
7033  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 502, 3,  8, 1,  0, 0}, // #595
7034  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #596
7035  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 388, 1, 137, 1,  0, 0}, // #597
7036  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 386, 2,  5, 1,  0, 0}, // #598
7037  {DBGFIELD("FRECPS32")           1, false, false, 307, 1, 228, 1,  0, 0}, // #599
7038  {DBGFIELD("EXTv16i8")           1, false, false, 399, 1,  5, 1,  0, 0}, // #600
7039  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #601
7040  {DBGFIELD("NOTv16i8")           1, false, false, 385, 1,  5, 1,  0, 0}, // #602
7041  {DBGFIELD("TBLv16i8One")        1, false, false, 412, 1,  5, 1,  0, 0}, // #603
7042  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #604
7043  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 388, 1, 137, 1,  0, 0}, // #605
7044  {DBGFIELD("TBLv8i8Two")         2, false, false, 412, 1,  1, 1,  0, 0}, // #606
7045  {DBGFIELD("FRECPSv4f32")        1, false, false, 307, 1, 228, 1,  0, 0}, // #607
7046  {DBGFIELD("TBLv16i8Two")        2, false, false, 412, 1,  1, 1,  0, 0}, // #608
7047  {DBGFIELD("TBLv8i8Three")       3, false, false, 425, 1, 137, 1,  0, 0}, // #609
7048  {DBGFIELD("TBLv16i8Three")      3, false, false, 425, 1, 137, 1,  0, 0}, // #610
7049  {DBGFIELD("TBLv8i8Four")        4, false, false, 425, 1,  8, 1,  0, 0}, // #611
7050  {DBGFIELD("TBLv16i8Four")       4, false, false, 425, 1,  8, 1,  0, 0}, // #612
7051  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 432, 2,  5, 1,  0, 0}, // #613
7052  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #614
7053  {DBGFIELD("STPSi")              1, false, false, 432, 2,  5, 1,  0, 0}, // #615
7054  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 432, 2,  5, 1,  0, 0}, // #616
7055  {DBGFIELD("STNPSi")             1, false, false, 432, 2,  5, 1,  0, 0}, // #617
7056  {DBGFIELD("B")                  1, false, false,  0, 0,  0, 1,  0, 0}, // #618
7057  {DBGFIELD("TCRETURNdi")         1, false, false, 226, 2,  5, 1,  0, 0}, // #619
7058  {DBGFIELD("BR_RET")             1, false, false, 226, 2,  5, 1,  0, 0}, // #620
7059  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 230, 2,  1, 1,  0, 0}, // #621
7060  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 226, 2,  5, 1,  0, 0}, // #622
7061  {DBGFIELD("Bcc")                1, false, false,  6, 1,  5, 1,  0, 0}, // #623
7062  {DBGFIELD("SHA1Hrr")            1, false, false, 470, 3,  5, 1,  0, 0}, // #624
7063  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 541, 4, 137, 1,  0, 0}, // #625
7064  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 386, 2,  1, 1,  0, 0}, // #626
7065  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 518, 6,  8, 1,  0, 0}, // #627
7066  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 385, 1,  5, 1,  0, 0}, // #628
7067  {DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 512, 3, 10, 1,  0, 0}, // #629
7068  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false, 539, 2,  1, 1,  0, 0}, // #630
7069  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 505, 4, 137, 1,  0, 0}, // #631
7070  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false, 539, 2,  1, 1,  0, 0}, // #632
7071  {DBGFIELD("FCVTSDr")            1, false, false, 388, 1,  1, 1,  0, 0}, // #633
7072  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 307, 1, 137, 1,  0, 0}, // #634
7073  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 513, 2, 137, 1,  0, 0}, // #635
7074  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false, 385, 1,  5, 1,  0, 0}, // #636
7075  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false, 385, 1,  5, 1,  0, 0}, // #637
7076  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 385, 1,  5, 1,  0, 0}, // #638
7077  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false, 14, 1,  1, 1,  0, 0}, // #639
7078  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 385, 1,  5, 1,  0, 0}, // #640
7079  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 388, 1,  1, 1,  0, 0}, // #641
7080  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 353, 1,  8, 1,  0, 0}, // #642
7081  {DBGFIELD("PRFUMi")             1, false, false, 353, 1,  8, 1,  0, 0}, // #643
7082  {DBGFIELD("LDNPWi_LDNPXi")      1, false, false, 353, 1, 11, 2,  0, 0}, // #644
7083  {DBGFIELD("LDPWi_LDPXi")        1, false, false, 353, 1, 11, 2,  0, 0}, // #645
7084  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 2, false, false, 525, 3, 13, 3,  0, 0}, // #646
7085  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 353, 1,  8, 1,  0, 0}, // #647
7086  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 353, 1, 14, 2,  0, 0}, // #648
7087  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #649
7088  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 353, 1,  8, 1,  0, 0}, // #650
7089  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 353, 1,  8, 1,  0, 0}, // #651
7090  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 353, 1,  8, 1,  0, 0}, // #652
7091  {DBGFIELD("PRFMroW_PRFMroX")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #653
7092  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 353, 1,  8, 1,  0, 0}, // #654
7093  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 353, 1, 14, 2,  0, 0}, // #655
7094  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #656
7095  {DBGFIELD("LDRSWl")             1, false, false, 353, 1,  8, 1,  0, 0}, // #657
7096  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 353, 1,  8, 1,  0, 0}, // #658
7097  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 353, 1,  8, 1,  0, 0}, // #659
7098  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 24, 1,  5, 1,  0, 1}, // #660
7099  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 24, 1,  5, 1,  0, 1}, // #661
7100  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 226, 2, 137, 1, 93, 4}, // #662
7101  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false, 226, 2, 137, 1, 93, 4}, // #663
7102  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false, 228, 2,  8, 1, 93, 4}, // #664
7103  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 391, 2, 201, 1,  1, 2}, // #665
7104  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 393, 2, 226, 1,  1, 2}, // #666
7105  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 24, 1,  5, 1,  0, 1}, // #667
7106  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false, 24, 1,  5, 1,  0, 1}, // #668
7107  {DBGFIELD("ADR_ADRP")           1, false, false,  0, 0,  0, 1,  0, 0}, // #669
7108  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  0, 0,  0, 1,  0, 0}, // #670
7109  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 24, 1,  5, 1,  0, 0}, // #671
7110  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 0, false, false,  0, 0,  1, 1,  0, 0}, // #672
7111  {DBGFIELD("LOADgot")            1, false, false, 353, 1, 10, 1,  0, 0}, // #673
7112  {DBGFIELD("CLREX_DMB_DSB")      1, false, false,  0, 0,  5, 1,  0, 0}, // #674
7113  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  5, 1,  0, 0}, // #675
7114  {DBGFIELD("HINT")               1, false, false,  0, 0,  5, 1,  0, 0}, // #676
7115  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  0, 0,  5, 1,  0, 0}, // #677
7116  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  0, 0,  5, 1,  0, 0}, // #678
7117  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 353, 1,  8, 1,  0, 0}, // #679
7118  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 353, 1, 11, 2,  0, 0}, // #680
7119  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  5, 1,  0, 0}, // #681
7120  {DBGFIELD("DRPS")               1, false, false, 226, 2,  5, 1,  0, 0}, // #682
7121  {DBGFIELD("MSR")                1, false, false,  0, 0,  5, 1,  0, 0}, // #683
7122  {DBGFIELD("STNPWi")             1, false, false, 390, 1,  5, 1,  0, 0}, // #684
7123  {DBGFIELD("ERET")               1, false, false, 226, 2,  5, 1,  0, 0}, // #685
7124  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
7125  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 390, 1,  5, 1,  0, 0}, // #687
7126  {DBGFIELD("STXPW_STXPX")        1, false, false, 390, 1,  5, 1,  0, 0}, // #688
7127  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 1, false, false, 390, 1,  5, 1,  0, 0}, // #689
7128  {DBGFIELD("STLXPW_STLXPX")      1, false, false, 390, 1,  5, 1,  0, 0}, // #690
7129  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 1, false, false, 390, 1,  5, 1,  0, 0}, // #691
7130  {DBGFIELD("STPWi")              1, false, false, 390, 1,  5, 1,  0, 0}, // #692
7131  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 390, 1,  5, 1,  0, 0}, // #693
7132  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #694
7133  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 390, 1,  5, 1,  0, 0}, // #695
7134  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 390, 1,  5, 1,  0, 0}, // #696
7135  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #697
7136  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 505, 4, 21, 1,  0, 0}, // #698
7137  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #699
7138  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #700
7139  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #701
7140  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #702
7141  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #703
7142  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
7143  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 502, 3,  8, 1,  0, 0}, // #705
7144  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #706
7145  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
7146  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
7147  {DBGFIELD("ADDv1i64")           1, false, false, 385, 1,  5, 1,  0, 0}, // #709
7148  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #710
7149  {DBGFIELD("ANDSWri")            1, false, false, 24, 1,  5, 1,  0, 1}, // #711
7150  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false, 24, 1,  5, 1,  1, 2}, // #712
7151  {DBGFIELD("ANDSWrs_ANDWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #713
7152  {DBGFIELD("ANDWri")             1, false, false, 24, 1,  5, 1,  0, 1}, // #714
7153  {DBGFIELD("BICSWrr_BICWrr")     1, false, false, 24, 1,  5, 1,  1, 2}, // #715
7154  {DBGFIELD("BICSWrs_BICWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #716
7155  {DBGFIELD("EONWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #717
7156  {DBGFIELD("EONWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #718
7157  {DBGFIELD("EORWri")             1, false, false, 24, 1,  5, 1,  0, 1}, // #719
7158  {DBGFIELD("EORWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #720
7159  {DBGFIELD("EORWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #721
7160  {DBGFIELD("ORNWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #722
7161  {DBGFIELD("ORNWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #723
7162  {DBGFIELD("ORRWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #724
7163  {DBGFIELD("ORRWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #725
7164  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 24, 1,  5, 1,  0, 1}, // #726
7165  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #727
7166  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #728
7167  {DBGFIELD("CSELWr_CSELXr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #729
7168  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #730
7169  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #731
7170  {DBGFIELD("FCMGEv2f32")         1, false, false, 386, 2,  5, 1,  0, 0}, // #732
7171  {DBGFIELD("FABDv2f32")          1, false, false, 14, 1,  1, 1,  0, 0}, // #733
7172  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #734
7173  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #735
7174  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 518, 6,  8, 1,  0, 0}, // #736
7175  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 505, 4,  1, 1,  0, 0}, // #737
7176  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 505, 4,  1, 1,  0, 0}, // #738
7177  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #739
7178  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #740
7179  {DBGFIELD("FMLSv4f32")          1, false, false, 307, 1, 228, 1, 101, 3}, // #741
7180  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 307, 1, 228, 1, 101, 3}, // #742
7181  {DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 545, 5, 10, 1,  0, 0}, // #743
7182  {DBGFIELD("FMOVXDHighr")        2, false, false, 547, 3,  1, 1,  0, 0}, // #744
7183  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 307, 1, 137, 1,  0, 0}, // #745
7184  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 388, 1, 137, 1,  0, 0}, // #746
7185  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 388, 1, 137, 1,  0, 0}, // #747
7186  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 353, 1,  8, 1,  0, 0}, // #748
7187  {DBGFIELD("LDAXPW_LDAXPX")      1, false, false, 353, 1, 11, 2,  0, 0}, // #749
7188  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false, 24, 1,  5, 1,  0, 1}, // #750
7189  {DBGFIELD("MRS")                1, false, false,  0, 0,  5, 1,  0, 0}, // #751
7190  {DBGFIELD("MSRpstateImm4")      1, false, false,  0, 0,  5, 1,  0, 0}, // #752
7191  {DBGFIELD("RBITWr_RBITXr")      1, false, false, 24, 1,  5, 1,  0, 1}, // #753
7192  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 399, 1,  5, 1,  0, 0}, // #754
7193  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #755
7194  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 399, 1,  5, 1,  0, 0}, // #756
7195  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1,  5, 1,  0, 0}, // #757
7196  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 399, 1,  5, 1,  0, 0}, // #758
7197  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 399, 1,  5, 1,  0, 0}, // #759
7198  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 399, 1,  5, 1,  0, 0}, // #760
7199  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 399, 1,  5, 1,  0, 0}, // #761
7200  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 226, 2,  5, 1,  0, 0}, // #762
7201  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
7202  {DBGFIELD("ANDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #764
7203  {DBGFIELD("ANDXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #765
7204  {DBGFIELD("BICWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #766
7205  {DBGFIELD("BICXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #767
7206  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
7207  {DBGFIELD("ADDWri_ADDXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #769
7208  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #770
7209  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #771
7210  {DBGFIELD("PRFMroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #772
7211  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #773
7212  {DBGFIELD("FABSDr_FABSSr")      1, false, false, 399, 1,  5, 1,  0, 0}, // #774
7213  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 518, 6,  8, 1,  0, 0}, // #775
7214  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false, 385, 1,  5, 1,  0, 0}, // #776
7215  {DBGFIELD("FRECPEv1f16")        1, false, false, 539, 2, 137, 1,  0, 0}, // #777
7216  {DBGFIELD("FRSQRTEv1f16")       1, false, false, 539, 2, 137, 1,  0, 0}, // #778
7217  {DBGFIELD("FRECPXv1f16")        1, false, false, 386, 2,  5, 1,  0, 0}, // #779
7218  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 368, 2, 227, 1,  0, 0}, // #780
7219  {DBGFIELD("FMOVDXr")            1, false, false, 535, 4,  8, 1,  0, 0}, // #781
7220  {DBGFIELD("STRDroW_STRSroW")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #782
7221  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #783
7222  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #784
7223  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #785
7224  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #786
7225  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
7226  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #788
7227  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 503, 1,  5, 1,  0, 0}, // #789
7228  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 502, 3,  8, 1,  0, 0}, // #790
7229  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #791
7230  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 502, 3,  8, 1,  0, 0}, // #792
7231  {DBGFIELD("FABSv2f32")          1, false, false, 399, 1,  5, 1,  0, 0}, // #793
7232  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 399, 1,  5, 1,  0, 0}, // #794
7233  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 550, 2,  5, 1,  0, 0}, // #795
7234  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 552, 2,  1, 1,  0, 0}, // #796
7235  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
7236  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 552, 2,  1, 1,  0, 0}, // #798
7237  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #799
7238  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #800
7239  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #801
7240  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 505, 4,  1, 1,  0, 0}, // #802
7241  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 539, 2,  1, 1,  0, 0}, // #803
7242  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 388, 1,  1, 1,  0, 0}, // #804
7243  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #805
7244  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 386, 2,  1, 1,  0, 0}, // #806
7245  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 386, 2,  1, 1,  0, 0}, // #807
7246  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 368, 2,  1, 1,  0, 0}, // #808
7247  {DBGFIELD("FMLAv2f32")          1, false, false, 307, 1, 228, 1, 101, 3}, // #809
7248  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 368, 2, 227, 1, 101, 3}, // #810
7249  {DBGFIELD("FMLSv2f32")          1, false, false, 307, 1, 228, 1, 101, 3}, // #811
7250  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 368, 2, 227, 1, 101, 3}, // #812
7251  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 554, 2,  5, 1,  0, 0}, // #813
7252  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 505, 4, 137, 1,  0, 0}, // #814
7253  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 399, 1,  5, 1,  0, 0}, // #815
7254  {DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 399, 1,  5, 1,  0, 0}, // #816
7255  {DBGFIELD("FABSHr")             1, false, false, 550, 2,  5, 1,  0, 0}, // #817
7256  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false, 552, 2,  1, 1,  0, 0}, // #818
7257  {DBGFIELD("FADDPv2i16p")        1, false, false, 552, 2,  1, 1,  0, 0}, // #819
7258  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 541, 4, 137, 1,  0, 0}, // #820
7259  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 386, 2,  1, 1,  0, 0}, // #821
7260  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #822
7261  {DBGFIELD("FDIVHrr")            1, false, false, 556, 2, 31, 1,  0, 0}, // #823
7262  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 368, 2, 137, 1,  0, 0}, // #824
7263  {DBGFIELD("FMULX16")            1, false, false, 368, 2, 137, 1,  0, 0}, // #825
7264  {DBGFIELD("FNEGHr")             1, false, false, 554, 2,  5, 1,  0, 0}, // #826
7265  {DBGFIELD("FCSELHrrr")          2, false, false, 512, 3, 10, 1,  0, 0}, // #827
7266  {DBGFIELD("FSQRTHr")            1, false, false, 558, 2, 31, 1,  0, 0}, // #828
7267  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 518, 6,  8, 1,  0, 0}, // #829
7268  {DBGFIELD("FMOVHi")             1, false, false, 385, 1,  5, 1,  0, 0}, // #830
7269  {DBGFIELD("FMOVHr")             1, false, false, 385, 1,  5, 1,  0, 0}, // #831
7270  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false, 513, 2, 137, 1,  0, 0}, // #832
7271  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false, 535, 4,  8, 1,  0, 0}, // #833
7272  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 1, false, false, 501, 1, 230, 1, 105, 1}, // #834
7273  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 230, 1, 105, 1}, // #835
7274  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 501, 1, 230, 1, 105, 1}, // #836
7275  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 501, 1, 230, 1, 105, 1}, // #837
7276  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 501, 1, 230, 1, 105, 1}, // #838
7277  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 501, 1, 230, 1,  0, 0}, // #839
7278  {DBGFIELD("FDIVv4f16")          1, false, false, 556, 2, 31, 1,  0, 0}, // #840
7279  {DBGFIELD("FDIVv8f16")          1, false, false, 560, 2, 31, 1,  0, 0}, // #841
7280  {DBGFIELD("FSQRTv4f16")         1, false, false, 558, 2, 31, 1,  0, 0}, // #842
7281  {DBGFIELD("FSQRTv8f16")         1, false, false, 562, 2, 31, 1,  0, 0}, // #843
7282  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 385, 1,  5, 1,  0, 0}, // #844
7283  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 385, 1,  5, 1,  0, 0}, // #845
7284  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 554, 2,  5, 1,  0, 0}, // #846
7285  {DBGFIELD("PMULLv1i64")         1, false, false, 470, 3,  5, 1,  0, 0}, // #847
7286  {DBGFIELD("PMULLv8i8")          1, false, false, 470, 3,  5, 1,  0, 0}, // #848
7287  {DBGFIELD("SHA256H2rrr")        1, false, false, 469, 1, 10, 1,  0, 0}, // #849
7288  {DBGFIELD("TBNZW_TBZW")         2, false, false, 230, 2,  1, 1,  0, 0}, // #850
7289  {DBGFIELD("ADCSWr_ADCWr")       1, false, false, 24, 1,  5, 1,  1, 2}, // #851
7290  {DBGFIELD("SBCSWr_SBCWr")       1, false, false, 24, 1,  5, 1,  1, 2}, // #852
7291  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
7292  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
7293  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
7294  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
7295  {DBGFIELD("ADDSWrx_ADDWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #857
7296  {DBGFIELD("SUBSWrx_SUBWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #858
7297  {DBGFIELD("ADDWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #859
7298  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false, 24, 1,  5, 1,  0, 1}, // #860
7299  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #861
7300  {DBGFIELD("CSELWr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #862
7301  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false, 24, 1,  5, 1,  1, 2}, // #863
7302  {DBGFIELD("CSINVWr")            1, false, false, 24, 1,  5, 1,  1, 2}, // #864
7303  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 24, 1,  5, 1,  0, 1}, // #865
7304  {DBGFIELD("LSLVWr")             1, false, false, 24, 1,  5, 1,  0, 1}, // #866
7305  {DBGFIELD("BFMWri")             1, false, false, 24, 1,  5, 1,  0, 1}, // #867
7306  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false, 24, 1,  5, 1,  0, 1}, // #868
7307  {DBGFIELD("CLSWr_CLZWr")        1, false, false, 24, 1,  5, 1,  0, 1}, // #869
7308  {DBGFIELD("RBITWr")             1, false, false, 24, 1,  5, 1,  0, 1}, // #870
7309  {DBGFIELD("REVWr_REV16Wr")      1, false, false, 24, 1,  5, 1,  0, 1}, // #871
7310  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
7311  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
7312  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
7313  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
7314  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
7315  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
7316  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
7317  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
7318  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
7319  {DBGFIELD("BRK")                1, false, false,  0, 0,  5, 1,  0, 0}, // #881
7320  {DBGFIELD("CBNZW_CBNZX")        1, false, false, 226, 2,  5, 1,  0, 0}, // #882
7321  {DBGFIELD("TBNZW")              2, false, false, 230, 2,  1, 1,  0, 0}, // #883
7322  {DBGFIELD("TBNZX")              2, false, false, 230, 2,  1, 1,  0, 0}, // #884
7323  {DBGFIELD("BR")                 1, false, false, 226, 2,  5, 1,  0, 0}, // #885
7324  {DBGFIELD("ADCWr")              1, false, false, 24, 1,  5, 1,  1, 2}, // #886
7325  {DBGFIELD("ADCXr")              1, false, false, 24, 1,  5, 1,  1, 2}, // #887
7326  {DBGFIELD("ASRVWr_RORVWr")      1, false, false, 24, 1,  5, 1,  0, 1}, // #888
7327  {DBGFIELD("ASRVXr_RORVXr")      1, false, false, 24, 1,  5, 1,  0, 1}, // #889
7328  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
7329  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 473, 2,  1, 1,  0, 0}, // #891
7330  {DBGFIELD("LDNPWi")             1, false, false, 353, 1, 11, 2,  0, 0}, // #892
7331  {DBGFIELD("LDPWi")              1, false, false, 353, 1, 11, 2,  0, 0}, // #893
7332  {DBGFIELD("LDRWl")              1, false, false, 353, 1,  8, 1,  0, 0}, // #894
7333  {DBGFIELD("LDTRBi")             1, false, false, 353, 1,  8, 1,  0, 0}, // #895
7334  {DBGFIELD("LDTRHi")             1, false, false, 353, 1,  8, 1,  0, 0}, // #896
7335  {DBGFIELD("LDTRWi")             1, false, false, 353, 1,  8, 1,  0, 0}, // #897
7336  {DBGFIELD("LDTRSBWi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #898
7337  {DBGFIELD("LDTRSBXi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #899
7338  {DBGFIELD("LDTRSHWi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #900
7339  {DBGFIELD("LDTRSHXi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #901
7340  {DBGFIELD("LDPWpre")            2, false, false, 525, 3, 13, 3,  0, 0}, // #902
7341  {DBGFIELD("LDRWpre")            1, false, false, 353, 1, 14, 2,  0, 0}, // #903
7342  {DBGFIELD("LDRXpre")            1, false, false, 353, 1, 14, 2,  0, 0}, // #904
7343  {DBGFIELD("LDRSBWpre")          1, false, false, 353, 1, 14, 2,  0, 0}, // #905
7344  {DBGFIELD("LDRSBXpre")          1, false, false, 353, 1, 14, 2,  0, 0}, // #906
7345  {DBGFIELD("LDRSBWpost")         1, false, false, 353, 1, 14, 2,  0, 0}, // #907
7346  {DBGFIELD("LDRSBXpost")         1, false, false, 353, 1, 14, 2,  0, 0}, // #908
7347  {DBGFIELD("LDRSHWpre")          1, false, false, 353, 1, 14, 2,  0, 0}, // #909
7348  {DBGFIELD("LDRSHXpre")          1, false, false, 353, 1, 14, 2,  0, 0}, // #910
7349  {DBGFIELD("LDRSHWpost")         1, false, false, 353, 1, 14, 2,  0, 0}, // #911
7350  {DBGFIELD("LDRSHXpost")         1, false, false, 353, 1, 14, 2,  0, 0}, // #912
7351  {DBGFIELD("LDRBBpre")           1, false, false, 353, 1, 14, 2,  0, 0}, // #913
7352  {DBGFIELD("LDRBBpost")          1, false, false, 353, 1, 14, 2,  0, 0}, // #914
7353  {DBGFIELD("LDRHHpre")           1, false, false, 353, 1, 14, 2,  0, 0}, // #915
7354  {DBGFIELD("LDRHHpost")          1, false, false, 353, 1, 14, 2,  0, 0}, // #916
7355  {DBGFIELD("LDPWpost")           2, false, false, 525, 3, 13, 3,  0, 0}, // #917
7356  {DBGFIELD("LDPXpost")           2, false, false, 525, 3, 13, 3,  0, 0}, // #918
7357  {DBGFIELD("LDRWpost")           1, false, false, 353, 1, 14, 2,  0, 0}, // #919
7358  {DBGFIELD("LDRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #920
7359  {DBGFIELD("LDRXroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #921
7360  {DBGFIELD("LDRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #922
7361  {DBGFIELD("LDRXroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #923
7362  {DBGFIELD("LDURBBi")            1, false, false, 353, 1,  8, 1,  0, 0}, // #924
7363  {DBGFIELD("LDURHHi")            1, false, false, 353, 1,  8, 1,  0, 0}, // #925
7364  {DBGFIELD("LDURXi")             1, false, false, 353, 1,  8, 1,  0, 0}, // #926
7365  {DBGFIELD("LDURSBWi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #927
7366  {DBGFIELD("LDURSBXi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #928
7367  {DBGFIELD("LDURSHWi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #929
7368  {DBGFIELD("LDURSHXi")           1, false, false, 353, 1,  8, 1,  0, 0}, // #930
7369  {DBGFIELD("PRFMl")              1, false, false, 353, 1,  8, 1,  0, 0}, // #931
7370  {DBGFIELD("STURBi")             1, false, false, 432, 2,  5, 1,  0, 0}, // #932
7371  {DBGFIELD("STURBBi")            1, false, false, 390, 1,  5, 1,  0, 0}, // #933
7372  {DBGFIELD("STURDi")             1, false, false, 432, 2,  5, 1,  0, 0}, // #934
7373  {DBGFIELD("STURHi")             1, false, false, 432, 2,  5, 1,  0, 0}, // #935
7374  {DBGFIELD("STURHHi")            1, false, false, 390, 1,  5, 1,  0, 0}, // #936
7375  {DBGFIELD("STURWi")             1, false, false, 390, 1,  5, 1,  0, 0}, // #937
7376  {DBGFIELD("STTRBi")             1, false, false, 390, 1,  5, 1,  0, 0}, // #938
7377  {DBGFIELD("STTRHi")             1, false, false, 390, 1,  5, 1,  0, 0}, // #939
7378  {DBGFIELD("STTRWi")             1, false, false, 390, 1,  5, 1,  0, 0}, // #940
7379  {DBGFIELD("STRBui")             1, false, false, 432, 2,  5, 1,  0, 0}, // #941
7380  {DBGFIELD("STRDui")             1, false, false, 432, 2,  5, 1,  0, 0}, // #942
7381  {DBGFIELD("STRHui")             1, false, false, 432, 2,  5, 1,  0, 0}, // #943
7382  {DBGFIELD("STRXui")             1, false, false, 390, 1,  5, 1,  0, 0}, // #944
7383  {DBGFIELD("STRWui")             1, false, false, 390, 1,  5, 1,  0, 0}, // #945
7384  {DBGFIELD("STRBBroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #946
7385  {DBGFIELD("STRBBroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #947
7386  {DBGFIELD("STRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #948
7387  {DBGFIELD("STRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #949
7388  {DBGFIELD("STRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #950
7389  {DBGFIELD("STRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #951
7390  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
7391  {DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 14, 1,  1, 1,  0, 0}, // #953
7392  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 552, 2,  1, 1,  0, 0}, // #954
7393  {DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 14, 1,  1, 1,  0, 0}, // #955
7394  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
7395  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
7396  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #958
7397  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
7398  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #960
7399  {DBGFIELD("FCMGEv1i16rz")       1, false, false, 386, 2,  5, 1,  0, 0}, // #961
7400  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #962
7401  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 399, 1,  5, 1,  0, 0}, // #963
7402  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 399, 1,  5, 1,  0, 0}, // #964
7403  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
7404  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
7405  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
7406  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
7407  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
7408  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
7409  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 353, 1,  8, 1,  0, 0}, // #971
7410  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
7411  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
7412  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
7413  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
7414  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
7415  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
7416  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
7417  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
7418  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
7419  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
7420  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
7421  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
7422  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
7423  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
7424  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
7425  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
7426  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
7427  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
7428  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
7429  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
7430  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
7431  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
7432  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
7433  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
7434  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
7435  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
7436  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
7437  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
7438  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
7439  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
7440  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
7441  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
7442  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
7443  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
7444  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
7445  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
7446  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
7447  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
7448  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
7449  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
7450  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
7451  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
7452  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 390, 1,  5, 1,  0, 0}, // #1014
7453  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
7454  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
7455  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
7456  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
7457  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
7458  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
7459  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
7460  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
7461  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
7462  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
7463  {DBGFIELD("M4WriteA1_ReadExtrHi") 1, false, false, 24, 1,  5, 1,  0, 1}, // #1025
7464  {DBGFIELD("M4WriteAF_ReadExtrHi") 2, false, false, 24, 1,  1, 1,  0, 1}, // #1026
7465  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
7466  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
7467  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
7468  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
7469  {DBGFIELD("M4WriteL5_ReadDefault") 1, false, false, 353, 1, 10, 1,  0, 0}, // #1031
7470  {DBGFIELD("M4WriteL4_ReadDefault") 1, false, false, 353, 1,  8, 1,  0, 0}, // #1032
7471  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
7472  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
7473  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
7474  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
7475  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
7476  {DBGFIELD("WriteST_ReadDefault") 1, false, false, 390, 1,  5, 1,  0, 0}, // #1038
7477  {DBGFIELD("M4WriteSB_ReadDefault") 1, false, false, 564, 3,  1, 1,  0, 0}, // #1039
7478  {DBGFIELD("M4WriteS1_ReadDefault") 1, false, false, 390, 1,  5, 1,  0, 0}, // #1040
7479  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
7480  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
7481  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
7482  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
7483  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
7484  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
7485  {DBGFIELD("M4WriteNALU1")       1, false, false, 385, 1,  5, 1,  0, 0}, // #1047
7486  {DBGFIELD("M4WriteZ0")          1, false, false,  0, 0,  0, 1,  0, 0}, // #1048
7487  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
7488  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
7489  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
7490  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
7491  {DBGFIELD("M4WriteAC")          3, false, false, 395, 2, 137, 1,  0, 0}, // #1053
7492  {DBGFIELD("M4WriteAB")          2, false, false, 230, 2,  1, 1,  0, 0}, // #1054
7493  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
7494  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
7495  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
7496  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
7497  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
7498  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
7499  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
7500  {DBGFIELD("M4WriteA1")          1, false, false, 24, 1,  5, 1,  0, 0}, // #1062
7501  {DBGFIELD("M4WriteAA")          1, false, false, 98, 1,  1, 1,  0, 0}, // #1063
7502  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
7503  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
7504  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
7505  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
7506  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
7507  {DBGFIELD("M4WriteNEONO")       3, false, false, 567, 2, 26, 1,  0, 0}, // #1069
7508  {DBGFIELD("M4WriteNEONN")       2, false, false, 569, 2, 10, 1,  0, 0}, // #1070
7509  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
7510  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
7511  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
7512  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
7513  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
7514  {DBGFIELD("M4WriteLE_ReadDefault") 2, false, false, 525, 3, 21, 1,  0, 0}, // #1076
7515  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
7516  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
7517  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
7518  {DBGFIELD("WriteVLD_ReadDefault") 1, false, false, 353, 1, 10, 1,  0, 0}, // #1080
7519  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
7520  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
7521  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
7522  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
7523  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
7524  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
7525  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
7526  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
7527  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
7528  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
7529  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
7530  {DBGFIELD("M4WriteVSTK_ReadDefault") 2, false, false, 571, 4, 137, 1,  0, 0}, // #1092
7531  {DBGFIELD("WriteVST_ReadDefault") 1, false, false, 432, 2,  5, 1,  0, 0}, // #1093
7532  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
7533  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
7534  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
7535  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
7536  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
7537  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
7538  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
7539  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
7540  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
7541  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
7542  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
7543  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
7544  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
7545  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
7546  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
7547  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
7548}; // ExynosM4ModelSchedClasses
7549
7550// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
7551static const llvm::MCSchedClassDesc ExynosM5ModelSchedClasses[] = {
7552  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
7553  {DBGFIELD("WriteV")             1, false, false, 353, 1,  5, 1,  0, 0}, // #1
7554  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 24, 1,  5, 1,  1, 2}, // #2
7555  {DBGFIELD("WriteI_ReadI")       1, false, false, 24, 1,  5, 1,  0, 1}, // #3
7556  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 24, 1,  5, 1,  1, 2}, // #4
7557  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 24, 1,  5, 1,  1, 2}, // #5
7558  {DBGFIELD("WriteAdr")           0, false, false,  0, 0,  5, 1,  0, 0}, // #6
7559  {DBGFIELD("WriteI")             1, false, false, 24, 1,  5, 1,  0, 0}, // #7
7560  {DBGFIELD("WriteIS_ReadI")      1, false, false, 24, 1,  5, 1,  0, 1}, // #8
7561  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  5, 1,  0, 0}, // #9
7562  {DBGFIELD("WriteBr")            1, false, false,  0, 0,  0, 1,  0, 0}, // #10
7563  {DBGFIELD("WriteBrReg")         1, false, false, 575, 3,  5, 1,  0, 0}, // #11
7564  {DBGFIELD("WriteAtomic")        1, false, false, 578, 2, 231, 1,  0, 0}, // #12
7565  {DBGFIELD("WriteBarrier")       1, false, false,  0, 0,  5, 1,  0, 0}, // #13
7566  {DBGFIELD("WriteExtr_ReadExtrHi") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #14
7567  {DBGFIELD("WriteF")             1, false, false, 15, 1,  1, 1,  0, 0}, // #15
7568  {DBGFIELD("WriteFCmp")          1, false, false, 386, 2,  1, 1,  0, 0}, // #16
7569  {DBGFIELD("WriteFCvt")          1, false, false, 507, 1,  1, 1,  0, 0}, // #17
7570  {DBGFIELD("WriteFDiv")          1, false, false, 389, 1, 201, 1,  0, 0}, // #18
7571  {DBGFIELD("WriteFMul")          1, false, false, 580, 1, 137, 1,  0, 0}, // #19
7572  {DBGFIELD("WriteFCopy")         1, false, false, 353, 1,  1, 1,  0, 0}, // #20
7573  {DBGFIELD("WriteFImm")          1, false, false, 353, 1,  5, 1,  0, 0}, // #21
7574  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  5, 1,  0, 0}, // #22
7575  {DBGFIELD("WriteST")            1, false, false, 581, 1,  5, 1,  0, 0}, // #23
7576  {DBGFIELD("WriteLD")            1, false, false, 338, 1,  8, 1,  0, 0}, // #24
7577  {DBGFIELD("WriteLD_WriteLDHi")  1, false, false, 338, 1, 11, 2,  0, 0}, // #25
7578  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 1, false, false, 338, 1, 13, 3,  0, 0}, // #26
7579  {DBGFIELD("WriteLD_WriteAdr")   1, false, false, 338, 1, 14, 2,  0, 0}, // #27
7580  {DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #28
7581  {DBGFIELD("WriteLDAdr")         1, false, false, 338, 1, 10, 1,  0, 0}, // #29
7582  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 575, 3,  1, 1, 93, 4}, // #30
7583  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 582, 3, 137, 1, 93, 4}, // #31
7584  {DBGFIELD("WriteImm")           1, false, false, 24, 1,  5, 1,  0, 0}, // #32
7585  {DBGFIELD("WriteAdrAdr")        0, false, false,  0, 0,  1, 1,  0, 0}, // #33
7586  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 585, 2, 117, 1,  1, 2}, // #34
7587  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 587, 2, 214, 1,  1, 2}, // #35
7588  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 582, 3, 137, 1,  1, 2}, // #36
7589  {DBGFIELD("WriteSTP")           1, false, false, 581, 1,  5, 1,  0, 0}, // #37
7590  {DBGFIELD("WriteAdr_WriteSTP")  1, false, false, 581, 1, 179, 2,  0, 0}, // #38
7591  {DBGFIELD("WriteAdr_WriteST")   1, false, false, 581, 1, 179, 2,  0, 0}, // #39
7592  {DBGFIELD("WriteSTX")           1, false, false, 581, 1,  5, 1,  0, 0}, // #40
7593  {DBGFIELD("WriteSTIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #41
7594  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 589, 4, 181, 4,  0, 0}, // #42
7595  {DBGFIELD("COPY")               16382, false, false,  0, 0,  0, 0,  0, 0}, // #43
7596  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 485, 2, 31, 1,  0, 0}, // #44
7597  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 338, 1, 21, 1,  0, 0}, // #45
7598  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 338, 1, 21, 1,  0, 0}, // #46
7599  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 434, 1, 21, 1,  0, 0}, // #47
7600  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 436, 1, 31, 1,  0, 0}, // #48
7601  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 438, 1, 31, 1,  0, 0}, // #49
7602  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 593, 4, 232, 3,  0, 0}, // #50
7603  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 597, 3, 235, 3,  0, 0}, // #51
7604  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 597, 3, 235, 3,  0, 0}, // #52
7605  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 593, 3, 235, 3,  0, 0}, // #53
7606  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 600, 3, 232, 3,  0, 0}, // #54
7607  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 603, 3, 232, 3,  0, 0}, // #55
7608  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 492, 2, 31, 1,  0, 0}, // #56
7609  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 434, 1, 21, 1,  0, 0}, // #57
7610  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 606, 1, 187, 1,  0, 0}, // #58
7611  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 606, 1, 187, 1,  0, 0}, // #59
7612  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 607, 4, 232, 3,  0, 0}, // #60
7613  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 3, false, false, 593, 3, 235, 3,  0, 0}, // #61
7614  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 611, 3, 238, 3,  0, 0}, // #62
7615  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 611, 3, 238, 3,  0, 0}, // #63
7616  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 614, 2, 26, 1,  0, 0}, // #64
7617  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 436, 1, 31, 1,  0, 0}, // #65
7618  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 436, 1, 231, 1,  0, 0}, // #66
7619  {DBGFIELD("LD3Threev2d")        3, false, false, 436, 1, 231, 1,  0, 0}, // #67
7620  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 616, 4, 241, 3,  0, 0}, // #68
7621  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 4, false, false, 600, 3, 37, 2,  0, 0}, // #69
7622  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 4, false, false, 600, 3, 244, 3,  0, 0}, // #70
7623  {DBGFIELD("LD3Threev2d_POST")   4, false, false, 600, 3, 244, 3,  0, 0}, // #71
7624  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 620, 2, 26, 1,  0, 0}, // #72
7625  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 438, 1, 31, 1,  0, 0}, // #73
7626  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 4, false, false, 622, 1, 202, 1,  0, 0}, // #74
7627  {DBGFIELD("LD4Fourv2d")         4, false, false, 622, 1, 202, 1,  0, 0}, // #75
7628  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 7, false, false, 623, 4, 241, 3,  0, 0}, // #76
7629  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 603, 3, 232, 3,  0, 0}, // #77
7630  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 627, 3, 247, 3,  0, 0}, // #78
7631  {DBGFIELD("LD4Fourv2d_POST")    5, false, false, 627, 3, 247, 3,  0, 0}, // #79
7632  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 630, 2,  5, 1,  0, 0}, // #80
7633  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 630, 2,  5, 1,  0, 0}, // #81
7634  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 632, 2,  1, 1,  0, 0}, // #82
7635  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 634, 2, 137, 1,  0, 0}, // #83
7636  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 636, 2,  8, 1,  0, 0}, // #84
7637  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 638, 4, 179, 3,  0, 0}, // #85
7638  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 638, 4, 179, 3,  0, 0}, // #86
7639  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 642, 4, 250, 3,  0, 0}, // #87
7640  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 4, false, false, 646, 4, 253, 3,  0, 0}, // #88
7641  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 5, false, false, 650, 4, 182, 3,  0, 0}, // #89
7642  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 630, 2,  1, 1,  0, 0}, // #90
7643  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 630, 2,  1, 1,  0, 0}, // #91
7644  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 1, false, false, 632, 2,  1, 1,  0, 0}, // #92
7645  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 638, 4, 250, 3,  0, 0}, // #93
7646  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 638, 4, 250, 3,  0, 0}, // #94
7647  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 642, 4, 250, 3,  0, 0}, // #95
7648  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 2, false, false, 632, 2,  1, 1,  0, 0}, // #96
7649  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 5, false, false, 654, 3,  8, 1,  0, 0}, // #97
7650  {DBGFIELD("ST3Threev2d")        5, false, false, 654, 3,  8, 1,  0, 0}, // #98
7651  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 3, false, false, 642, 4, 250, 3,  0, 0}, // #99
7652  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 6, false, false, 657, 5, 182, 3,  0, 0}, // #100
7653  {DBGFIELD("ST3Threev2d_POST")   6, false, false, 657, 5, 182, 3,  0, 0}, // #101
7654  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 2, false, false, 632, 2,  1, 1,  0, 0}, // #102
7655  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 5, false, false, 662, 3, 26, 1,  0, 0}, // #103
7656  {DBGFIELD("ST4Fourv2d")         5, false, false, 662, 3, 26, 1,  0, 0}, // #104
7657  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 3, false, false, 642, 4, 250, 3,  0, 0}, // #105
7658  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 6, false, false, 665, 5, 241, 3,  0, 0}, // #106
7659  {DBGFIELD("ST4Fourv2d_POST")    6, false, false, 665, 5, 241, 3,  0, 0}, // #107
7660  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 580, 1, 256, 1, 107, 2}, // #108
7661  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 353, 1,  5, 1,  0, 0}, // #109
7662  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
7663  {DBGFIELD("FDIVSrr")            1, false, false, 670, 1, 31, 1,  0, 0}, // #111
7664  {DBGFIELD("FDIVDrr")            1, false, false, 389, 1, 201, 1,  0, 0}, // #112
7665  {DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 467, 1, 31, 1,  0, 0}, // #113
7666  {DBGFIELD("FDIVv2f64")          1, false, false, 468, 1, 201, 1,  0, 0}, // #114
7667  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 580, 1, 256, 1,  0, 0}, // #115
7668  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 580, 1, 256, 1,  0, 0}, // #116
7669  {DBGFIELD("BL")                 2, false, false, 199, 2,  1, 1,  0, 0}, // #117
7670  {DBGFIELD("BLR")                16382, false, false,  0, 0,  0, 0,  0, 0}, // #118
7671  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
7672  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false, 582, 3, 137, 1,  1, 2}, // #120
7673  {DBGFIELD("EXTRWrri")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #121
7674  {DBGFIELD("EXTRXrri")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #122
7675  {DBGFIELD("BFMWri_BFMXri")      1, false, false, 199, 2,  5, 1,  0, 0}, // #123
7676  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 671, 1, 257, 1,  0, 0}, // #124
7677  {DBGFIELD("AESDrr_AESErr")      1, false, false, 671, 1, 257, 1,  0, 0}, // #125
7678  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 671, 1, 257, 1, 109, 1}, // #126
7679  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 671, 1, 257, 1, 109, 1}, // #127
7680  {DBGFIELD("SHA1SU0rrr")         1, false, false, 672, 3,  1, 1,  0, 0}, // #128
7681  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false, 672, 3,  1, 1,  0, 0}, // #129
7682  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 671, 1, 10, 1,  0, 0}, // #130
7683  {DBGFIELD("SHA256SU0rr")        1, false, false, 672, 3,  1, 1,  0, 0}, // #131
7684  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 671, 1, 10, 1,  0, 0}, // #132
7685  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 675, 2, 258, 1, 110, 1}, // #133
7686  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 485, 2, 31, 1,  0, 0}, // #134
7687  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 593, 4, 232, 3,  0, 0}, // #135
7688  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 338, 1, 21, 1,  0, 0}, // #136
7689  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 597, 3, 235, 3,  0, 0}, // #137
7690  {DBGFIELD("LD1Rv1d")            1, false, false, 338, 1, 21, 1,  0, 0}, // #138
7691  {DBGFIELD("LD1Rv1d_POST")       2, false, false, 597, 3, 235, 3,  0, 0}, // #139
7692  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 338, 1, 21, 1,  0, 0}, // #140
7693  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 597, 3, 235, 3,  0, 0}, // #141
7694  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 434, 1, 21, 1,  0, 0}, // #142
7695  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 3, false, false, 593, 3, 235, 3,  0, 0}, // #143
7696  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 436, 1, 31, 1,  0, 0}, // #144
7697  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 4, false, false, 600, 3, 232, 3,  0, 0}, // #145
7698  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 438, 1, 31, 1,  0, 0}, // #146
7699  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 603, 3, 232, 3,  0, 0}, // #147
7700  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 492, 2, 31, 1,  0, 0}, // #148
7701  {DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 607, 4, 232, 3,  0, 0}, // #149
7702  {DBGFIELD("LD2i32")             3, false, false, 492, 2, 31, 1,  0, 0}, // #150
7703  {DBGFIELD("LD2i32_POST")        4, false, false, 607, 4, 232, 3,  0, 0}, // #151
7704  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 434, 1, 21, 1,  0, 0}, // #152
7705  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 593, 3, 235, 3,  0, 0}, // #153
7706  {DBGFIELD("LD2Rv1d")            2, false, false, 434, 1, 21, 1,  0, 0}, // #154
7707  {DBGFIELD("LD2Rv1d_POST")       3, false, false, 593, 3, 235, 3,  0, 0}, // #155
7708  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 606, 1, 187, 1,  0, 0}, // #156
7709  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 611, 3, 238, 3,  0, 0}, // #157
7710  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 677, 2, 26, 1,  0, 0}, // #158
7711  {DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 679, 4, 241, 3,  0, 0}, // #159
7712  {DBGFIELD("LD3i32")             4, false, false, 677, 2, 26, 1,  0, 0}, // #160
7713  {DBGFIELD("LD3i32_POST")        5, false, false, 679, 4, 241, 3,  0, 0}, // #161
7714  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 436, 1, 31, 1,  0, 0}, // #162
7715  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 600, 3, 37, 2,  0, 0}, // #163
7716  {DBGFIELD("LD3Rv1d")            3, false, false, 436, 1, 31, 1,  0, 0}, // #164
7717  {DBGFIELD("LD3Rv1d_POST")       4, false, false, 600, 3, 37, 2,  0, 0}, // #165
7718  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 436, 1, 31, 1,  0, 0}, // #166
7719  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 4, false, false, 600, 3, 37, 2,  0, 0}, // #167
7720  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 436, 1, 231, 1,  0, 0}, // #168
7721  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 4, false, false, 600, 3, 244, 3,  0, 0}, // #169
7722  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 683, 2, 26, 1,  0, 0}, // #170
7723  {DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 685, 4, 241, 3,  0, 0}, // #171
7724  {DBGFIELD("LD4i32")             5, false, false, 683, 2, 26, 1,  0, 0}, // #172
7725  {DBGFIELD("LD4i32_POST")        6, false, false, 685, 4, 241, 3,  0, 0}, // #173
7726  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 438, 1, 31, 1,  0, 0}, // #174
7727  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 603, 3, 232, 3,  0, 0}, // #175
7728  {DBGFIELD("LD4Rv1d")            4, false, false, 438, 1, 31, 1,  0, 0}, // #176
7729  {DBGFIELD("LD4Rv1d_POST")       5, false, false, 603, 3, 232, 3,  0, 0}, // #177
7730  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 438, 1, 31, 1,  0, 0}, // #178
7731  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 603, 3, 232, 3,  0, 0}, // #179
7732  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 622, 1, 202, 1,  0, 0}, // #180
7733  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 627, 3, 247, 3,  0, 0}, // #181
7734  {DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 630, 2,  5, 1,  0, 0}, // #182
7735  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 638, 4, 179, 3,  0, 0}, // #183
7736  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 630, 2,  5, 1,  0, 0}, // #184
7737  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 638, 4, 179, 3,  0, 0}, // #185
7738  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 632, 2,  1, 1,  0, 0}, // #186
7739  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 642, 4, 250, 3,  0, 0}, // #187
7740  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 634, 2, 137, 1,  0, 0}, // #188
7741  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 646, 4, 253, 3,  0, 0}, // #189
7742  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 636, 2,  8, 1,  0, 0}, // #190
7743  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 650, 4, 182, 3,  0, 0}, // #191
7744  {DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 630, 2,  1, 1,  0, 0}, // #192
7745  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 638, 4, 250, 3,  0, 0}, // #193
7746  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 1, false, false, 632, 2,  1, 1,  0, 0}, // #194
7747  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 642, 4, 250, 3,  0, 0}, // #195
7748  {DBGFIELD("ST3i16_ST3i8")       2, false, false, 632, 2,  1, 1,  0, 0}, // #196
7749  {DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 642, 4, 250, 3,  0, 0}, // #197
7750  {DBGFIELD("ST3i32")             2, false, false, 632, 2,  1, 1,  0, 0}, // #198
7751  {DBGFIELD("ST3i32_POST")        3, false, false, 642, 4, 250, 3,  0, 0}, // #199
7752  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 3, false, false, 689, 3,  8, 1,  0, 0}, // #200
7753  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 4, false, false, 692, 5, 182, 3,  0, 0}, // #201
7754  {DBGFIELD("ST4i16_ST4i8")       2, false, false, 632, 2,  1, 1,  0, 0}, // #202
7755  {DBGFIELD("ST4i16_POST_ST4i8_POST") 3, false, false, 642, 4, 250, 3,  0, 0}, // #203
7756  {DBGFIELD("ST4i32")             2, false, false, 632, 2,  1, 1,  0, 0}, // #204
7757  {DBGFIELD("ST4i32_POST")        3, false, false, 642, 4, 250, 3,  0, 0}, // #205
7758  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 4, false, false, 697, 3,  8, 1,  0, 0}, // #206
7759  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 5, false, false, 700, 5, 182, 3,  0, 0}, // #207
7760  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #208
7761  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #209
7762  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
7763  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #211
7764  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #212
7765  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #213
7766  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #214
7767  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #215
7768  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #216
7769  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #217
7770  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 672, 3,  1, 1,  0, 0}, // #218
7771  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 672, 3,  1, 1,  0, 0}, // #219
7772  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 501, 1, 259, 1, 111, 1}, // #220
7773  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 501, 1, 259, 1, 111, 1}, // #221
7774  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
7775  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 259, 1, 111, 1}, // #223
7776  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
7777  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 353, 1,  5, 1,  0, 0}, // #225
7778  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 672, 3, 137, 1,  0, 0}, // #226
7779  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 672, 3, 137, 1,  0, 0}, // #227
7780  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
7781  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #229
7782  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
7783  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #231
7784  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
7785  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #233
7786  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
7787  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #235
7788  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 551, 1,  1, 1,  0, 0}, // #236
7789  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 705, 3,  8, 1,  0, 0}, // #237
7790  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 705, 3,  8, 1,  0, 0}, // #238
7791  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 353, 1,  5, 1,  0, 0}, // #239
7792  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #240
7793  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 15, 1,  1, 1,  0, 0}, // #241
7794  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #242
7795  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #243
7796  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #244
7797  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 507, 1,  1, 1,  0, 0}, // #245
7798  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 708, 3,  1, 1,  0, 0}, // #246
7799  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 708, 3,  1, 1,  0, 0}, // #247
7800  {DBGFIELD("FDIVv2f32")          1, false, false, 670, 1, 31, 1,  0, 0}, // #248
7801  {DBGFIELD("FSQRTv2f32")         1, false, false, 711, 1, 26, 1,  0, 0}, // #249
7802  {DBGFIELD("FSQRTv4f32")         1, false, false, 283, 1, 26, 1,  0, 0}, // #250
7803  {DBGFIELD("FSQRTv2f64")         1, false, false, 291, 1, 201, 1,  0, 0}, // #251
7804  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #252
7805  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #253
7806  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #254
7807  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 386, 2,  1, 1,  0, 0}, // #255
7808  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #256
7809  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 580, 1, 137, 1,  0, 0}, // #257
7810  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 580, 1, 137, 1,  0, 0}, // #258
7811  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #259
7812  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #260
7813  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 708, 3, 137, 1,  0, 0}, // #261
7814  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 708, 3, 137, 1,  0, 0}, // #262
7815  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #263
7816  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 1, false, false, 399, 1,  1, 1,  0, 0}, // #264
7817  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 399, 1,  1, 1,  0, 0}, // #265
7818  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 712, 3, 10, 1,  0, 0}, // #266
7819  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
7820  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 705, 3,  8, 1,  0, 0}, // #268
7821  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 507, 1, 137, 1,  0, 0}, // #269
7822  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 507, 1, 137, 1,  0, 0}, // #270
7823  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 507, 1, 137, 1,  0, 0}, // #271
7824  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 507, 1, 137, 1,  0, 0}, // #272
7825  {DBGFIELD("FRSQRTEv2f64")       1, false, false, 507, 1, 137, 1,  0, 0}, // #273
7826  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 507, 1, 137, 1,  0, 0}, // #274
7827  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 580, 1, 256, 1,  0, 0}, // #275
7828  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 580, 1, 256, 1,  0, 0}, // #276
7829  {DBGFIELD("FRSQRTS64")          1, false, false, 580, 1, 256, 1,  0, 0}, // #277
7830  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 580, 1, 256, 1,  0, 0}, // #278
7831  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 399, 1,  1, 1,  0, 0}, // #279
7832  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 2, false, false, 399, 1,  8, 1,  0, 0}, // #280
7833  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 399, 1, 21, 1,  0, 0}, // #281
7834  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 4, false, false, 399, 1, 26, 1,  0, 0}, // #282
7835  {DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 399, 1,  1, 1,  0, 0}, // #283
7836  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 2, false, false, 399, 1,  8, 1,  0, 0}, // #284
7837  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 3, false, false, 399, 1, 21, 1,  0, 0}, // #285
7838  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 4, false, false, 399, 1, 26, 1,  0, 0}, // #286
7839  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 715, 4, 31, 1,  0, 0}, // #287
7840  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 712, 3, 10, 1,  0, 0}, // #288
7841  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1,  1, 1,  0, 0}, // #289
7842  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 15, 1,  1, 1,  0, 0}, // #290
7843  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 580, 1, 256, 1, 107, 2}, // #291
7844  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 719, 3,  8, 1,  0, 0}, // #292
7845  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 353, 1,  5, 1,  0, 0}, // #293
7846  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 719, 3, 21, 1,  0, 0}, // #294
7847  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
7848  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 353, 1,  5, 1,  0, 0}, // #296
7849  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 386, 2,  5, 1,  0, 0}, // #297
7850  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 708, 3, 137, 1,  0, 0}, // #298
7851  {DBGFIELD("FSQRTDr")            1, false, false, 722, 1, 201, 1,  0, 0}, // #299
7852  {DBGFIELD("FSQRTSr")            1, false, false, 711, 1, 26, 1,  0, 0}, // #300
7853  {DBGFIELD("LDNPDi")             1, false, false, 338, 1, 100, 2,  0, 0}, // #301
7854  {DBGFIELD("LDNPQi")             1, false, false, 434, 1, 100, 2,  0, 0}, // #302
7855  {DBGFIELD("LDNPSi")             1, false, false, 338, 1, 100, 2,  0, 0}, // #303
7856  {DBGFIELD("LDPDi")              1, false, false, 338, 1, 100, 2,  0, 0}, // #304
7857  {DBGFIELD("LDPDpost")           2, false, false, 597, 3, 100, 3,  0, 0}, // #305
7858  {DBGFIELD("LDPDpre")            2, false, false, 597, 3, 100, 3,  0, 0}, // #306
7859  {DBGFIELD("LDPQi")              1, false, false, 434, 1, 100, 2,  0, 0}, // #307
7860  {DBGFIELD("LDPQpost")           2, false, false, 593, 3, 100, 3,  0, 0}, // #308
7861  {DBGFIELD("LDPQpre")            2, false, false, 593, 3, 100, 3,  0, 0}, // #309
7862  {DBGFIELD("LDPSWi")             1, false, false, 338, 1, 11, 2,  0, 0}, // #310
7863  {DBGFIELD("LDPSWpost")          2, false, false, 597, 3, 13, 3,  0, 0}, // #311
7864  {DBGFIELD("LDPSWpre")           2, false, false, 597, 3, 13, 3,  0, 0}, // #312
7865  {DBGFIELD("LDPSi")              1, false, false, 338, 1, 100, 2,  0, 0}, // #313
7866  {DBGFIELD("LDPSpost")           2, false, false, 597, 3, 100, 3,  0, 0}, // #314
7867  {DBGFIELD("LDPSpre")            2, false, false, 597, 3, 100, 3,  0, 0}, // #315
7868  {DBGFIELD("LDRBpost")           1, false, false, 338, 1, 21, 2,  0, 0}, // #316
7869  {DBGFIELD("LDRBpre")            1, false, false, 338, 1, 21, 2,  0, 0}, // #317
7870  {DBGFIELD("LDRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #318
7871  {DBGFIELD("LDRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #319
7872  {DBGFIELD("LDRBui")             1, false, false, 338, 1, 21, 1,  0, 0}, // #320
7873  {DBGFIELD("LDRDl")              1, false, false, 338, 1, 21, 1,  0, 0}, // #321
7874  {DBGFIELD("LDRDpost")           1, false, false, 338, 1, 21, 2,  0, 0}, // #322
7875  {DBGFIELD("LDRDpre")            1, false, false, 338, 1, 21, 2,  0, 0}, // #323
7876  {DBGFIELD("LDRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #324
7877  {DBGFIELD("LDRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #325
7878  {DBGFIELD("LDRDui")             1, false, false, 338, 1, 21, 1,  0, 0}, // #326
7879  {DBGFIELD("LDRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #327
7880  {DBGFIELD("LDRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #328
7881  {DBGFIELD("LDRHpost")           1, false, false, 338, 1, 21, 2,  0, 0}, // #329
7882  {DBGFIELD("LDRHpre")            1, false, false, 338, 1, 21, 2,  0, 0}, // #330
7883  {DBGFIELD("LDRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #331
7884  {DBGFIELD("LDRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #332
7885  {DBGFIELD("LDRHui")             1, false, false, 338, 1, 21, 1,  0, 0}, // #333
7886  {DBGFIELD("LDRQl")              1, false, false, 338, 1, 21, 1,  0, 0}, // #334
7887  {DBGFIELD("LDRQpost")           1, false, false, 338, 1, 21, 2,  0, 0}, // #335
7888  {DBGFIELD("LDRQpre")            1, false, false, 338, 1, 21, 2,  0, 0}, // #336
7889  {DBGFIELD("LDRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #337
7890  {DBGFIELD("LDRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #338
7891  {DBGFIELD("LDRQui")             1, false, false, 338, 1, 21, 1,  0, 0}, // #339
7892  {DBGFIELD("LDRSHWroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #340
7893  {DBGFIELD("LDRSHWroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #341
7894  {DBGFIELD("LDRSHXroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #342
7895  {DBGFIELD("LDRSHXroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #343
7896  {DBGFIELD("LDRSl")              1, false, false, 338, 1, 21, 1,  0, 0}, // #344
7897  {DBGFIELD("LDRSpost")           1, false, false, 338, 1, 21, 2,  0, 0}, // #345
7898  {DBGFIELD("LDRSpre")            1, false, false, 338, 1, 21, 2,  0, 0}, // #346
7899  {DBGFIELD("LDRSroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #347
7900  {DBGFIELD("LDRSroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #348
7901  {DBGFIELD("LDRSui")             1, false, false, 338, 1, 21, 1,  0, 0}, // #349
7902  {DBGFIELD("LDURBi")             1, false, false, 338, 1, 21, 1,  0, 0}, // #350
7903  {DBGFIELD("LDURDi")             1, false, false, 338, 1, 21, 1,  0, 0}, // #351
7904  {DBGFIELD("LDURHi")             1, false, false, 338, 1, 21, 1,  0, 0}, // #352
7905  {DBGFIELD("LDURQi")             1, false, false, 338, 1, 21, 1,  0, 0}, // #353
7906  {DBGFIELD("LDURSi")             1, false, false, 338, 1, 21, 1,  0, 0}, // #354
7907  {DBGFIELD("STNPDi")             1, false, false, 630, 2,  5, 1,  0, 0}, // #355
7908  {DBGFIELD("STNPQi")             1, false, false, 723, 3,  5, 1,  0, 0}, // #356
7909  {DBGFIELD("STNPXi")             1, false, false, 581, 1,  5, 1,  0, 0}, // #357
7910  {DBGFIELD("STPDi")              1, false, false, 630, 2,  5, 1,  0, 0}, // #358
7911  {DBGFIELD("STPDpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #359
7912  {DBGFIELD("STPDpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #360
7913  {DBGFIELD("STPQi")              1, false, false, 723, 3,  5, 1,  0, 0}, // #361
7914  {DBGFIELD("STPQpost")           1, false, false, 726, 6, 179, 2,  0, 0}, // #362
7915  {DBGFIELD("STPQpre")            1, false, false, 726, 6, 179, 2,  0, 0}, // #363
7916  {DBGFIELD("STPSpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #364
7917  {DBGFIELD("STPSpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #365
7918  {DBGFIELD("STPWpost")           1, false, false, 581, 1, 179, 2,  0, 0}, // #366
7919  {DBGFIELD("STPWpre")            1, false, false, 581, 1, 179, 2,  0, 0}, // #367
7920  {DBGFIELD("STPXi")              1, false, false, 581, 1,  5, 1,  0, 0}, // #368
7921  {DBGFIELD("STPXpost")           1, false, false, 581, 1, 179, 2,  0, 0}, // #369
7922  {DBGFIELD("STPXpre")            1, false, false, 581, 1, 179, 2,  0, 0}, // #370
7923  {DBGFIELD("STRBBpost")          1, false, false, 581, 1, 179, 2,  0, 0}, // #371
7924  {DBGFIELD("STRBBpre")           1, false, false, 581, 1, 179, 2,  0, 0}, // #372
7925  {DBGFIELD("STRBpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #373
7926  {DBGFIELD("STRBpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #374
7927  {DBGFIELD("STRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #375
7928  {DBGFIELD("STRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #376
7929  {DBGFIELD("STRDpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #377
7930  {DBGFIELD("STRDpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #378
7931  {DBGFIELD("STRHHpost")          1, false, false, 581, 1, 179, 2,  0, 0}, // #379
7932  {DBGFIELD("STRHHpre")           1, false, false, 581, 1, 179, 2,  0, 0}, // #380
7933  {DBGFIELD("STRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #381
7934  {DBGFIELD("STRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #382
7935  {DBGFIELD("STRHpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #383
7936  {DBGFIELD("STRHpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #384
7937  {DBGFIELD("STRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #385
7938  {DBGFIELD("STRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #386
7939  {DBGFIELD("STRQpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #387
7940  {DBGFIELD("STRQpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #388
7941  {DBGFIELD("STRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #389
7942  {DBGFIELD("STRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #390
7943  {DBGFIELD("STRQui")             1, false, false, 630, 2,  5, 1,  0, 0}, // #391
7944  {DBGFIELD("STRSpost")           1, false, false, 630, 2, 179, 2,  0, 0}, // #392
7945  {DBGFIELD("STRSpre")            1, false, false, 630, 2, 179, 2,  0, 0}, // #393
7946  {DBGFIELD("STRWpost")           1, false, false, 581, 1, 179, 2,  0, 0}, // #394
7947  {DBGFIELD("STRWpre")            1, false, false, 581, 1, 179, 2,  0, 0}, // #395
7948  {DBGFIELD("STRXpost")           1, false, false, 581, 1, 179, 2,  0, 0}, // #396
7949  {DBGFIELD("STRXpre")            1, false, false, 581, 1, 179, 2,  0, 0}, // #397
7950  {DBGFIELD("STURQi")             1, false, false, 630, 2,  5, 1,  0, 0}, // #398
7951  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false,  0, 0,  0, 1,  0, 0}, // #399
7952  {DBGFIELD("ANDWri_ANDXri")      1, false, false, 24, 1,  5, 1,  0, 1}, // #400
7953  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #401
7954  {DBGFIELD("ISB")                1, false, false,  0, 0,  5, 1,  0, 0}, // #402
7955  {DBGFIELD("ORRv16i8")           1, false, false, 353, 1,  1, 1,  0, 0}, // #403
7956  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 732, 5, 137, 1,  0, 0}, // #404
7957  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 399, 1,  1, 1,  0, 0}, // #405
7958  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #406
7959  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #407
7960  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #408
7961  {DBGFIELD("ADDVv16i8v")         1, false, false, 499, 2, 137, 1,  0, 0}, // #409
7962  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #410
7963  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #411
7964  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #412
7965  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #413
7966  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #414
7967  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #415
7968  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 386, 2,  1, 1,  0, 0}, // #416
7969  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 499, 2,  5, 1,  0, 0}, // #417
7970  {DBGFIELD("FADDPv2i32p")        1, false, false, 15, 1,  1, 1,  0, 0}, // #418
7971  {DBGFIELD("FADDPv2i64p")        1, false, false, 15, 1,  1, 1,  0, 0}, // #419
7972  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #420
7973  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #421
7974  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 386, 2,  1, 1,  0, 0}, // #422
7975  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false, 15, 1,  1, 1,  0, 0}, // #423
7976  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 353, 1,  5, 1,  0, 0}, // #424
7977  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #425
7978  {DBGFIELD("FADDPv4f32")         1, false, false, 15, 1,  1, 1,  0, 0}, // #426
7979  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #427
7980  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #428
7981  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
7982  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #430
7983  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #431
7984  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #432
7985  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
7986  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #434
7987  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 386, 2,  5, 1,  0, 0}, // #435
7988  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #436
7989  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #437
7990  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #438
7991  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 705, 3,  8, 1,  0, 0}, // #439
7992  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 705, 3,  8, 1,  0, 0}, // #440
7993  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 705, 3,  8, 1,  0, 0}, // #441
7994  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 705, 3,  8, 1,  0, 0}, // #442
7995  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #443
7996  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #444
7997  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 501, 1, 259, 1,  0, 0}, // #445
7998  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 501, 1, 259, 1,  0, 0}, // #446
7999  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #447
8000  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 580, 1, 137, 1,  0, 0}, // #448
8001  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 580, 1, 137, 1,  0, 0}, // #449
8002  {DBGFIELD("FMULX64")            1, false, false, 580, 1, 137, 1,  0, 0}, // #450
8003  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
8004  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 580, 1, 256, 1, 107, 2}, // #452
8005  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #453
8006  {DBGFIELD("FMLAv4f32")          1, false, false, 580, 1, 256, 1, 107, 2}, // #454
8007  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #455
8008  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 507, 1, 137, 1,  0, 0}, // #456
8009  {DBGFIELD("URSQRTEv2i32")       1, false, false, 507, 1, 137, 1,  0, 0}, // #457
8010  {DBGFIELD("URSQRTEv4i32")       1, false, false, 507, 1, 137, 1,  0, 0}, // #458
8011  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 507, 1, 137, 1,  0, 0}, // #459
8012  {DBGFIELD("FRECPSv2f32")        1, false, false, 580, 1, 256, 1,  0, 0}, // #460
8013  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 580, 1, 256, 1,  0, 0}, // #461
8014  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 580, 1, 256, 1,  0, 0}, // #462
8015  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 580, 1, 256, 1,  0, 0}, // #463
8016  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 507, 1,  1, 1,  0, 0}, // #464
8017  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 719, 3, 21, 1,  0, 0}, // #465
8018  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false, 671, 1, 257, 1, 109, 1}, // #466
8019  {DBGFIELD("SHA256SU1rrr")       1, false, false, 671, 1, 10, 1,  0, 0}, // #467
8020  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 353, 1,  1, 1,  0, 0}, // #468
8021  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #469
8022  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #470
8023  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #471
8024  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #472
8025  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #473
8026  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 708, 3,  1, 1,  0, 0}, // #474
8027  {DBGFIELD("FCVTXNv1i64")        1, false, false, 507, 1,  1, 1,  0, 0}, // #475
8028  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 580, 1, 137, 1,  0, 0}, // #476
8029  {DBGFIELD("FMULX32")            1, false, false, 580, 1, 137, 1,  0, 0}, // #477
8030  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 353, 1,  1, 1,  0, 0}, // #478
8031  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #479
8032  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #480
8033  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 507, 1,  1, 1,  0, 0}, // #481
8034  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 708, 3,  1, 1,  0, 0}, // #482
8035  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 507, 1,  1, 1,  0, 0}, // #483
8036  {DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 580, 1, 137, 1,  0, 0}, // #484
8037  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 507, 1,  1, 1,  0, 0}, // #485
8038  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #486
8039  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #487
8040  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #488
8041  {DBGFIELD("ADDPv2i64p")         1, false, false, 499, 2, 137, 1,  0, 0}, // #489
8042  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #490
8043  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #491
8044  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #492
8045  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #493
8046  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #494
8047  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 551, 1,  1, 1,  0, 0}, // #495
8048  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 551, 1,  1, 1,  0, 0}, // #496
8049  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #497
8050  {DBGFIELD("SSHRd_USHRd")        1, false, false, 551, 1,  1, 1,  0, 0}, // #498
8051  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #499
8052  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #500
8053  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #501
8054  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2,  1, 1,  0, 0}, // #502
8055  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #503
8056  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #504
8057  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #505
8058  {DBGFIELD("SHLd")               1, false, false, 551, 1,  1, 1,  0, 0}, // #506
8059  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #507
8060  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #508
8061  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 499, 2,  5, 1,  0, 0}, // #509
8062  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #510
8063  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #511
8064  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #512
8065  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #513
8066  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #514
8067  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 705, 3,  8, 1,  0, 0}, // #515
8068  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #516
8069  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #517
8070  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #518
8071  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #519
8072  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #520
8073  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #521
8074  {DBGFIELD("ADDVv4i16v")         1, false, false, 499, 2, 137, 1,  0, 0}, // #522
8075  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #523
8076  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #524
8077  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #525
8078  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 501, 1, 259, 1,  0, 0}, // #526
8079  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 501, 1, 259, 1, 111, 1}, // #527
8080  {DBGFIELD("ADDVv4i32v")         1, false, false, 499, 2, 137, 1,  0, 0}, // #528
8081  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #529
8082  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #530
8083  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #531
8084  {DBGFIELD("ADDPv2i64")          1, false, false, 499, 2, 137, 1,  0, 0}, // #532
8085  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #533
8086  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #534
8087  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #535
8088  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #536
8089  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #537
8090  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #538
8091  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #539
8092  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #540
8093  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #541
8094  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #542
8095  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #543
8096  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 551, 1,  1, 1,  0, 0}, // #544
8097  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 499, 2,  5, 1,  0, 0}, // #545
8098  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #546
8099  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #547
8100  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #548
8101  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #549
8102  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #550
8103  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 501, 1, 259, 1, 111, 1}, // #551
8104  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #552
8105  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 499, 2, 137, 1,  0, 0}, // #553
8106  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 353, 1,  5, 1,  0, 0}, // #554
8107  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #555
8108  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 199, 2,  5, 1,  0, 0}, // #556
8109  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #557
8110  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #558
8111  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 24, 1,  5, 1,  0, 1}, // #559
8112  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #560
8113  {DBGFIELD("ADDXrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #561
8114  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #562
8115  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false, 24, 1,  5, 1,  0, 1}, // #563
8116  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #564
8117  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #565
8118  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #566
8119  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #567
8120  {DBGFIELD("EONWrr_EONXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #568
8121  {DBGFIELD("EONWrs_EONXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #569
8122  {DBGFIELD("EORWri_EORXri")      1, false, false, 24, 1,  5, 1,  0, 1}, // #570
8123  {DBGFIELD("EORWrr_EORXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #571
8124  {DBGFIELD("EORWrs_EORXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #572
8125  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false, 24, 1,  5, 1,  1, 2}, // #573
8126  {DBGFIELD("ORNWrs_ORNXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #574
8127  {DBGFIELD("ORRWri_ORRXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #575
8128  {DBGFIELD("ORRWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #576
8129  {DBGFIELD("ORRWrs_ORRXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #577
8130  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #578
8131  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 24, 1,  5, 1,  0, 1}, // #579
8132  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 24, 1,  5, 1,  1, 2}, // #580
8133  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
8134  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #582
8135  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #583
8136  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 712, 3, 10, 1,  0, 0}, // #584
8137  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 399, 1,  1, 1,  0, 0}, // #585
8138  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 712, 3, 10, 1,  0, 0}, // #586
8139  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 399, 1,  1, 1,  0, 0}, // #587
8140  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 712, 3, 10, 1,  0, 0}, // #588
8141  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #589
8142  {DBGFIELD("EXTv8i8")            1, false, false, 399, 1,  1, 1,  0, 0}, // #590
8143  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #591
8144  {DBGFIELD("TBLv8i8One")         1, false, false, 399, 1,  1, 1,  0, 0}, // #592
8145  {DBGFIELD("NOTv8i8")            1, false, false, 353, 1,  1, 1,  0, 0}, // #593
8146  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 399, 1,  1, 1,  0, 0}, // #594
8147  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 705, 3,  8, 1,  0, 0}, // #595
8148  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #596
8149  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 507, 1, 137, 1,  0, 0}, // #597
8150  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 386, 2,  5, 1,  0, 0}, // #598
8151  {DBGFIELD("FRECPS32")           1, false, false, 580, 1, 256, 1,  0, 0}, // #599
8152  {DBGFIELD("EXTv16i8")           1, false, false, 399, 1,  1, 1,  0, 0}, // #600
8153  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #601
8154  {DBGFIELD("NOTv16i8")           1, false, false, 353, 1,  1, 1,  0, 0}, // #602
8155  {DBGFIELD("TBLv16i8One")        1, false, false, 399, 1,  1, 1,  0, 0}, // #603
8156  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #604
8157  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 507, 1, 137, 1,  0, 0}, // #605
8158  {DBGFIELD("TBLv8i8Two")         2, false, false, 399, 1,  8, 1,  0, 0}, // #606
8159  {DBGFIELD("FRECPSv4f32")        1, false, false, 580, 1, 256, 1,  0, 0}, // #607
8160  {DBGFIELD("TBLv16i8Two")        2, false, false, 399, 1,  8, 1,  0, 0}, // #608
8161  {DBGFIELD("TBLv8i8Three")       3, false, false, 399, 1, 21, 1,  0, 0}, // #609
8162  {DBGFIELD("TBLv16i8Three")      3, false, false, 399, 1, 21, 1,  0, 0}, // #610
8163  {DBGFIELD("TBLv8i8Four")        4, false, false, 399, 1, 26, 1,  0, 0}, // #611
8164  {DBGFIELD("TBLv16i8Four")       4, false, false, 399, 1, 26, 1,  0, 0}, // #612
8165  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 630, 2,  5, 1,  0, 0}, // #613
8166  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #614
8167  {DBGFIELD("STPSi")              1, false, false, 630, 2,  5, 1,  0, 0}, // #615
8168  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 630, 2,  5, 1,  0, 0}, // #616
8169  {DBGFIELD("STNPSi")             1, false, false, 630, 2,  5, 1,  0, 0}, // #617
8170  {DBGFIELD("B")                  1, false, false,  0, 0,  0, 1,  0, 0}, // #618
8171  {DBGFIELD("TCRETURNdi")         1, false, false, 575, 3,  5, 1,  0, 0}, // #619
8172  {DBGFIELD("BR_RET")             1, false, false, 575, 3,  5, 1,  0, 0}, // #620
8173  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 737, 4,  1, 1,  0, 0}, // #621
8174  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 575, 3,  5, 1,  0, 0}, // #622
8175  {DBGFIELD("Bcc")                1, false, false, 227, 1,  5, 1,  0, 0}, // #623
8176  {DBGFIELD("SHA1Hrr")            1, false, false, 672, 3,  1, 1,  0, 0}, // #624
8177  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 741, 5, 137, 1,  0, 0}, // #625
8178  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 386, 2,  1, 1,  0, 0}, // #626
8179  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 719, 3,  8, 1,  0, 0}, // #627
8180  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 353, 1,  1, 1,  0, 0}, // #628
8181  {DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 712, 3,  1, 1,  0, 0}, // #629
8182  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false, 507, 1,  1, 1,  0, 0}, // #630
8183  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 708, 3, 137, 1,  0, 0}, // #631
8184  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false, 507, 1,  1, 1,  0, 0}, // #632
8185  {DBGFIELD("FCVTSDr")            1, false, false, 507, 1,  1, 1,  0, 0}, // #633
8186  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 580, 1, 137, 1,  0, 0}, // #634
8187  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 713, 2,  8, 1,  0, 0}, // #635
8188  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false, 353, 1,  5, 1,  0, 0}, // #636
8189  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false, 353, 1,  1, 1,  0, 0}, // #637
8190  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 353, 1,  5, 1,  0, 0}, // #638
8191  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false, 15, 1,  1, 1,  0, 0}, // #639
8192  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 353, 1,  5, 1,  0, 0}, // #640
8193  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 507, 1,  1, 1,  0, 0}, // #641
8194  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 338, 1,  8, 1,  0, 0}, // #642
8195  {DBGFIELD("PRFUMi")             1, false, false, 338, 1,  8, 1,  0, 0}, // #643
8196  {DBGFIELD("LDNPWi_LDNPXi")      1, false, false, 338, 1, 11, 2,  0, 0}, // #644
8197  {DBGFIELD("LDPWi_LDPXi")        1, false, false, 338, 1, 11, 2,  0, 0}, // #645
8198  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 2, false, false, 597, 3, 13, 3,  0, 0}, // #646
8199  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 338, 1,  8, 1,  0, 0}, // #647
8200  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 338, 1, 14, 2,  0, 0}, // #648
8201  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #649
8202  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 338, 1,  8, 1,  0, 0}, // #650
8203  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 338, 1,  8, 1,  0, 0}, // #651
8204  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 338, 1,  8, 1,  0, 0}, // #652
8205  {DBGFIELD("PRFMroW_PRFMroX")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #653
8206  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 338, 1,  8, 1,  0, 0}, // #654
8207  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 338, 1, 14, 2,  0, 0}, // #655
8208  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #656
8209  {DBGFIELD("LDRSWl")             1, false, false, 338, 1,  8, 1,  0, 0}, // #657
8210  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 338, 1,  8, 1,  0, 0}, // #658
8211  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 338, 1,  8, 1,  0, 0}, // #659
8212  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 199, 2,  5, 1,  0, 0}, // #660
8213  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #661
8214  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 575, 3,  1, 1, 93, 4}, // #662
8215  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false, 575, 3,  1, 1, 93, 4}, // #663
8216  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false, 582, 3, 137, 1, 93, 4}, // #664
8217  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 585, 2, 117, 1,  1, 2}, // #665
8218  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 587, 2, 214, 1,  1, 2}, // #666
8219  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #667
8220  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false, 24, 1,  5, 1,  0, 1}, // #668
8221  {DBGFIELD("ADR_ADRP")           1, false, false,  0, 0,  0, 1,  0, 0}, // #669
8222  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  0, 0,  0, 1,  0, 0}, // #670
8223  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 24, 1,  5, 1,  0, 0}, // #671
8224  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 0, false, false,  0, 0,  1, 1,  0, 0}, // #672
8225  {DBGFIELD("LOADgot")            1, false, false, 338, 1, 10, 1,  0, 0}, // #673
8226  {DBGFIELD("CLREX_DMB_DSB")      1, false, false,  0, 0,  5, 1,  0, 0}, // #674
8227  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  5, 1,  0, 0}, // #675
8228  {DBGFIELD("HINT")               1, false, false,  0, 0,  5, 1,  0, 0}, // #676
8229  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  0, 0,  5, 1,  0, 0}, // #677
8230  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  0, 0,  5, 1,  0, 0}, // #678
8231  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 338, 1,  8, 1,  0, 0}, // #679
8232  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 338, 1, 11, 2,  0, 0}, // #680
8233  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  5, 1,  0, 0}, // #681
8234  {DBGFIELD("DRPS")               1, false, false, 575, 3,  5, 1,  0, 0}, // #682
8235  {DBGFIELD("MSR")                1, false, false,  0, 0,  5, 1,  0, 0}, // #683
8236  {DBGFIELD("STNPWi")             1, false, false, 581, 1,  5, 1,  0, 0}, // #684
8237  {DBGFIELD("ERET")               1, false, false, 575, 3,  5, 1,  0, 0}, // #685
8238  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #686
8239  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 581, 1,  5, 1,  0, 0}, // #687
8240  {DBGFIELD("STXPW_STXPX")        1, false, false, 581, 1,  5, 1,  0, 0}, // #688
8241  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 1, false, false, 581, 1,  5, 1,  0, 0}, // #689
8242  {DBGFIELD("STLXPW_STLXPX")      1, false, false, 581, 1,  5, 1,  0, 0}, // #690
8243  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 1, false, false, 581, 1,  5, 1,  0, 0}, // #691
8244  {DBGFIELD("STPWi")              1, false, false, 581, 1,  5, 1,  0, 0}, // #692
8245  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 581, 1,  5, 1,  0, 0}, // #693
8246  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #694
8247  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 581, 1,  5, 1,  0, 0}, // #695
8248  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 581, 1,  5, 1,  0, 0}, // #696
8249  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #697
8250  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 719, 3, 21, 1,  0, 0}, // #698
8251  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #699
8252  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 501, 1, 259, 1,  0, 0}, // #700
8253  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #701
8254  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 499, 2, 137, 1,  0, 0}, // #702
8255  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #703
8256  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
8257  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 705, 3,  8, 1,  0, 0}, // #705
8258  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1,  0, 0}, // #706
8259  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
8260  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
8261  {DBGFIELD("ADDv1i64")           1, false, false, 353, 1,  1, 1,  0, 0}, // #709
8262  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #710
8263  {DBGFIELD("ANDSWri")            1, false, false, 24, 1,  5, 1,  0, 1}, // #711
8264  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false, 24, 1,  5, 1,  1, 2}, // #712
8265  {DBGFIELD("ANDSWrs_ANDWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #713
8266  {DBGFIELD("ANDWri")             1, false, false, 24, 1,  5, 1,  0, 1}, // #714
8267  {DBGFIELD("BICSWrr_BICWrr")     1, false, false, 24, 1,  5, 1,  1, 2}, // #715
8268  {DBGFIELD("BICSWrs_BICWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #716
8269  {DBGFIELD("EONWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #717
8270  {DBGFIELD("EONWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #718
8271  {DBGFIELD("EORWri")             1, false, false, 24, 1,  5, 1,  0, 1}, // #719
8272  {DBGFIELD("EORWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #720
8273  {DBGFIELD("EORWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #721
8274  {DBGFIELD("ORNWrr")             1, false, false, 24, 1,  5, 1,  1, 2}, // #722
8275  {DBGFIELD("ORNWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #723
8276  {DBGFIELD("ORRWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #724
8277  {DBGFIELD("ORRWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #725
8278  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #726
8279  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 353, 1,  5, 1,  0, 0}, // #727
8280  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 353, 1,  5, 1,  0, 0}, // #728
8281  {DBGFIELD("CSELWr_CSELXr")      1, false, false, 199, 2,  5, 1,  0, 0}, // #729
8282  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 199, 2,  5, 1,  0, 0}, // #730
8283  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 386, 2,  5, 1,  0, 0}, // #731
8284  {DBGFIELD("FCMGEv2f32")         1, false, false, 386, 2,  5, 1,  0, 0}, // #732
8285  {DBGFIELD("FABDv2f32")          1, false, false, 15, 1,  1, 1,  0, 0}, // #733
8286  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #734
8287  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #735
8288  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 719, 3,  8, 1,  0, 0}, // #736
8289  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 708, 3,  1, 1,  0, 0}, // #737
8290  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 708, 3,  1, 1,  0, 0}, // #738
8291  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #739
8292  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #740
8293  {DBGFIELD("FMLSv4f32")          1, false, false, 580, 1, 256, 1, 107, 2}, // #741
8294  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 580, 1, 256, 1, 107, 2}, // #742
8295  {DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 749, 6, 10, 1,  0, 0}, // #743
8296  {DBGFIELD("FMOVXDHighr")        2, false, false, 716, 3, 21, 1,  0, 0}, // #744
8297  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 580, 1, 137, 1,  0, 0}, // #745
8298  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 507, 1, 137, 1,  0, 0}, // #746
8299  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 507, 1, 137, 1,  0, 0}, // #747
8300  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 338, 1,  8, 1,  0, 0}, // #748
8301  {DBGFIELD("LDAXPW_LDAXPX")      1, false, false, 338, 1, 11, 2,  0, 0}, // #749
8302  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false, 199, 2,  5, 1,  0, 0}, // #750
8303  {DBGFIELD("MRS")                1, false, false,  0, 0,  5, 1,  0, 0}, // #751
8304  {DBGFIELD("MSRpstateImm4")      1, false, false,  0, 0,  5, 1,  0, 0}, // #752
8305  {DBGFIELD("RBITWr_RBITXr")      1, false, false, 199, 2,  5, 1,  0, 0}, // #753
8306  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 399, 1,  1, 1,  0, 0}, // #754
8307  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #755
8308  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 399, 1,  1, 1,  0, 0}, // #756
8309  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1,  1, 1,  0, 0}, // #757
8310  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 399, 1,  1, 1,  0, 0}, // #758
8311  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 399, 1,  1, 1,  0, 0}, // #759
8312  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 399, 1,  1, 1,  0, 0}, // #760
8313  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 399, 1,  1, 1,  0, 0}, // #761
8314  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 575, 3,  5, 1,  0, 0}, // #762
8315  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
8316  {DBGFIELD("ANDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #764
8317  {DBGFIELD("ANDXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #765
8318  {DBGFIELD("BICWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #766
8319  {DBGFIELD("BICXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #767
8320  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
8321  {DBGFIELD("ADDWri_ADDXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #769
8322  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #770
8323  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #771
8324  {DBGFIELD("PRFMroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #772
8325  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #773
8326  {DBGFIELD("FABSDr_FABSSr")      1, false, false, 399, 1,  5, 1,  0, 0}, // #774
8327  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 719, 3,  8, 1,  0, 0}, // #775
8328  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false, 353, 1,  5, 1,  0, 0}, // #776
8329  {DBGFIELD("FRECPEv1f16")        1, false, false, 507, 1, 137, 1,  0, 0}, // #777
8330  {DBGFIELD("FRSQRTEv1f16")       1, false, false, 507, 1, 137, 1,  0, 0}, // #778
8331  {DBGFIELD("FRECPXv1f16")        1, false, false, 386, 2,  5, 1,  0, 0}, // #779
8332  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 580, 1, 256, 1,  0, 0}, // #780
8333  {DBGFIELD("FMOVDXr")            1, false, false, 732, 5, 137, 1,  0, 0}, // #781
8334  {DBGFIELD("STRDroW_STRSroW")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #782
8335  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #783
8336  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #784
8337  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #785
8338  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2,  5, 1,  0, 0}, // #786
8339  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
8340  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #788
8341  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 551, 1,  1, 1,  0, 0}, // #789
8342  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 705, 3,  8, 1,  0, 0}, // #790
8343  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #791
8344  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 705, 3,  8, 1,  0, 0}, // #792
8345  {DBGFIELD("FABSv2f32")          1, false, false, 399, 1,  1, 1,  0, 0}, // #793
8346  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 399, 1,  1, 1,  0, 0}, // #794
8347  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 399, 1,  1, 1,  0, 0}, // #795
8348  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 15, 1,  1, 1,  0, 0}, // #796
8349  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
8350  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 15, 1,  1, 1,  0, 0}, // #798
8351  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #799
8352  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #800
8353  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #801
8354  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 708, 3,  1, 1,  0, 0}, // #802
8355  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 507, 1,  1, 1,  0, 0}, // #803
8356  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 507, 1,  1, 1,  0, 0}, // #804
8357  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #805
8358  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 386, 2,  1, 1,  0, 0}, // #806
8359  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 386, 2,  1, 1,  0, 0}, // #807
8360  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 580, 1, 137, 1,  0, 0}, // #808
8361  {DBGFIELD("FMLAv2f32")          1, false, false, 580, 1, 256, 1, 107, 2}, // #809
8362  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 580, 1, 256, 1, 107, 2}, // #810
8363  {DBGFIELD("FMLSv2f32")          1, false, false, 580, 1, 256, 1, 107, 2}, // #811
8364  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #812
8365  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #813
8366  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 708, 3, 137, 1,  0, 0}, // #814
8367  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 399, 1,  1, 1,  0, 0}, // #815
8368  {DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 399, 1,  1, 1,  0, 0}, // #816
8369  {DBGFIELD("FABSHr")             1, false, false, 399, 1,  5, 1,  0, 0}, // #817
8370  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false, 15, 1,  1, 1,  0, 0}, // #818
8371  {DBGFIELD("FADDPv2i16p")        1, false, false, 15, 1,  1, 1,  0, 0}, // #819
8372  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 741, 5, 137, 1,  0, 0}, // #820
8373  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 386, 2,  1, 1,  0, 0}, // #821
8374  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #822
8375  {DBGFIELD("FDIVHrr")            1, false, false, 755, 1, 10, 1,  0, 0}, // #823
8376  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 580, 1, 137, 1,  0, 0}, // #824
8377  {DBGFIELD("FMULX16")            1, false, false, 580, 1, 137, 1,  0, 0}, // #825
8378  {DBGFIELD("FNEGHr")             1, false, false, 353, 1,  1, 1,  0, 0}, // #826
8379  {DBGFIELD("FCSELHrrr")          2, false, false, 712, 3,  1, 1,  0, 0}, // #827
8380  {DBGFIELD("FSQRTHr")            1, false, false, 270, 1, 10, 1,  0, 0}, // #828
8381  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 719, 3,  8, 1,  0, 0}, // #829
8382  {DBGFIELD("FMOVHi")             1, false, false, 353, 1,  5, 1,  0, 0}, // #830
8383  {DBGFIELD("FMOVHr")             1, false, false, 353, 1,  1, 1,  0, 0}, // #831
8384  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false, 713, 2,  8, 1,  0, 0}, // #832
8385  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false, 732, 5, 137, 1,  0, 0}, // #833
8386  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 1, false, false, 501, 1, 259, 1, 111, 1}, // #834
8387  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 259, 1,  0, 0}, // #835
8388  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 501, 1, 259, 1,  0, 0}, // #836
8389  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 501, 1, 259, 1, 111, 1}, // #837
8390  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #838
8391  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 555, 1,  1, 1,  0, 0}, // #839
8392  {DBGFIELD("FDIVv4f16")          1, false, false, 670, 1, 31, 1,  0, 0}, // #840
8393  {DBGFIELD("FDIVv8f16")          1, false, false, 467, 1, 31, 1,  0, 0}, // #841
8394  {DBGFIELD("FSQRTv4f16")         1, false, false, 273, 1, 31, 1,  0, 0}, // #842
8395  {DBGFIELD("FSQRTv8f16")         1, false, false, 756, 1, 31, 1,  0, 0}, // #843
8396  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 353, 1,  1, 1,  0, 0}, // #844
8397  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 353, 1,  1, 1,  0, 0}, // #845
8398  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 353, 1,  5, 1,  0, 0}, // #846
8399  {DBGFIELD("PMULLv1i64")         1, false, false, 672, 3,  5, 1,  0, 0}, // #847
8400  {DBGFIELD("PMULLv8i8")          1, false, false, 672, 3,  5, 1,  0, 0}, // #848
8401  {DBGFIELD("SHA256H2rrr")        1, false, false, 671, 1, 10, 1,  0, 0}, // #849
8402  {DBGFIELD("TBNZW_TBZW")         2, false, false, 757, 3,  1, 1,  0, 0}, // #850
8403  {DBGFIELD("ADCSWr_ADCWr")       1, false, false, 24, 1,  5, 1,  0, 0}, // #851
8404  {DBGFIELD("SBCSWr_SBCWr")       1, false, false, 24, 1,  5, 1,  0, 0}, // #852
8405  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
8406  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
8407  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
8408  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
8409  {DBGFIELD("ADDSWrx_ADDWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #857
8410  {DBGFIELD("SUBSWrx_SUBWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #858
8411  {DBGFIELD("ADDWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #859
8412  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false, 24, 1,  5, 1,  0, 0}, // #860
8413  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false, 24, 1,  5, 1,  0, 0}, // #861
8414  {DBGFIELD("CSELWr")             1, false, false, 24, 1,  5, 1,  0, 0}, // #862
8415  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false, 24, 1,  5, 1,  0, 0}, // #863
8416  {DBGFIELD("CSINVWr")            1, false, false, 24, 1,  5, 1,  0, 0}, // #864
8417  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 24, 1,  5, 1,  0, 0}, // #865
8418  {DBGFIELD("LSLVWr")             1, false, false, 24, 1,  5, 1,  0, 0}, // #866
8419  {DBGFIELD("BFMWri")             1, false, false, 24, 1,  5, 1,  0, 0}, // #867
8420  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false, 24, 1,  5, 1,  0, 0}, // #868
8421  {DBGFIELD("CLSWr_CLZWr")        1, false, false, 24, 1,  5, 1,  0, 0}, // #869
8422  {DBGFIELD("RBITWr")             1, false, false, 24, 1,  5, 1,  0, 0}, // #870
8423  {DBGFIELD("REVWr_REV16Wr")      1, false, false, 24, 1,  5, 1,  0, 0}, // #871
8424  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #872
8425  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #873
8426  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 6, false, false, 760, 2, 202, 1,  0, 0}, // #874
8427  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 6, false, false, 762, 3, 202, 1,  0, 0}, // #875
8428  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #876
8429  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #877
8430  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #878
8431  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #879
8432  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #880
8433  {DBGFIELD("BRK")                1, false, false,  0, 0,  5, 1,  0, 0}, // #881
8434  {DBGFIELD("CBNZW_CBNZX")        1, false, false, 575, 3,  5, 1,  0, 0}, // #882
8435  {DBGFIELD("TBNZW")              2, false, false, 757, 3,  1, 1,  0, 0}, // #883
8436  {DBGFIELD("TBNZX")              2, false, false, 737, 4,  1, 1,  0, 0}, // #884
8437  {DBGFIELD("BR")                 1, false, false, 575, 3,  5, 1,  0, 0}, // #885
8438  {DBGFIELD("ADCWr")              1, false, false, 24, 1,  5, 1,  0, 0}, // #886
8439  {DBGFIELD("ADCXr")              1, false, false, 199, 2,  5, 1,  0, 0}, // #887
8440  {DBGFIELD("ASRVWr_RORVWr")      1, false, false, 24, 1,  5, 1,  0, 0}, // #888
8441  {DBGFIELD("ASRVXr_RORVXr")      1, false, false, 199, 2,  5, 1,  0, 0}, // #889
8442  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
8443  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 675, 2, 258, 1, 110, 1}, // #891
8444  {DBGFIELD("LDNPWi")             1, false, false, 338, 1, 11, 2,  0, 0}, // #892
8445  {DBGFIELD("LDPWi")              1, false, false, 338, 1, 11, 2,  0, 0}, // #893
8446  {DBGFIELD("LDRWl")              1, false, false, 338, 1,  8, 1,  0, 0}, // #894
8447  {DBGFIELD("LDTRBi")             1, false, false, 338, 1,  8, 1,  0, 0}, // #895
8448  {DBGFIELD("LDTRHi")             1, false, false, 338, 1,  8, 1,  0, 0}, // #896
8449  {DBGFIELD("LDTRWi")             1, false, false, 338, 1,  8, 1,  0, 0}, // #897
8450  {DBGFIELD("LDTRSBWi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #898
8451  {DBGFIELD("LDTRSBXi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #899
8452  {DBGFIELD("LDTRSHWi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #900
8453  {DBGFIELD("LDTRSHXi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #901
8454  {DBGFIELD("LDPWpre")            2, false, false, 597, 3, 13, 3,  0, 0}, // #902
8455  {DBGFIELD("LDRWpre")            1, false, false, 338, 1, 14, 2,  0, 0}, // #903
8456  {DBGFIELD("LDRXpre")            1, false, false, 338, 1, 14, 2,  0, 0}, // #904
8457  {DBGFIELD("LDRSBWpre")          1, false, false, 338, 1, 14, 2,  0, 0}, // #905
8458  {DBGFIELD("LDRSBXpre")          1, false, false, 338, 1, 14, 2,  0, 0}, // #906
8459  {DBGFIELD("LDRSBWpost")         1, false, false, 338, 1, 14, 2,  0, 0}, // #907
8460  {DBGFIELD("LDRSBXpost")         1, false, false, 338, 1, 14, 2,  0, 0}, // #908
8461  {DBGFIELD("LDRSHWpre")          1, false, false, 338, 1, 14, 2,  0, 0}, // #909
8462  {DBGFIELD("LDRSHXpre")          1, false, false, 338, 1, 14, 2,  0, 0}, // #910
8463  {DBGFIELD("LDRSHWpost")         1, false, false, 338, 1, 14, 2,  0, 0}, // #911
8464  {DBGFIELD("LDRSHXpost")         1, false, false, 338, 1, 14, 2,  0, 0}, // #912
8465  {DBGFIELD("LDRBBpre")           1, false, false, 338, 1, 14, 2,  0, 0}, // #913
8466  {DBGFIELD("LDRBBpost")          1, false, false, 338, 1, 14, 2,  0, 0}, // #914
8467  {DBGFIELD("LDRHHpre")           1, false, false, 338, 1, 14, 2,  0, 0}, // #915
8468  {DBGFIELD("LDRHHpost")          1, false, false, 338, 1, 14, 2,  0, 0}, // #916
8469  {DBGFIELD("LDPWpost")           2, false, false, 597, 3, 13, 3,  0, 0}, // #917
8470  {DBGFIELD("LDPXpost")           2, false, false, 597, 3, 13, 3,  0, 0}, // #918
8471  {DBGFIELD("LDRWpost")           1, false, false, 338, 1, 14, 2,  0, 0}, // #919
8472  {DBGFIELD("LDRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #920
8473  {DBGFIELD("LDRXroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #921
8474  {DBGFIELD("LDRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #922
8475  {DBGFIELD("LDRXroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #923
8476  {DBGFIELD("LDURBBi")            1, false, false, 338, 1,  8, 1,  0, 0}, // #924
8477  {DBGFIELD("LDURHHi")            1, false, false, 338, 1,  8, 1,  0, 0}, // #925
8478  {DBGFIELD("LDURXi")             1, false, false, 338, 1,  8, 1,  0, 0}, // #926
8479  {DBGFIELD("LDURSBWi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #927
8480  {DBGFIELD("LDURSBXi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #928
8481  {DBGFIELD("LDURSHWi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #929
8482  {DBGFIELD("LDURSHXi")           1, false, false, 338, 1,  8, 1,  0, 0}, // #930
8483  {DBGFIELD("PRFMl")              1, false, false, 338, 1,  8, 1,  0, 0}, // #931
8484  {DBGFIELD("STURBi")             1, false, false, 630, 2,  5, 1,  0, 0}, // #932
8485  {DBGFIELD("STURBBi")            1, false, false, 581, 1,  5, 1,  0, 0}, // #933
8486  {DBGFIELD("STURDi")             1, false, false, 630, 2,  5, 1,  0, 0}, // #934
8487  {DBGFIELD("STURHi")             1, false, false, 630, 2,  5, 1,  0, 0}, // #935
8488  {DBGFIELD("STURHHi")            1, false, false, 581, 1,  5, 1,  0, 0}, // #936
8489  {DBGFIELD("STURWi")             1, false, false, 581, 1,  5, 1,  0, 0}, // #937
8490  {DBGFIELD("STTRBi")             1, false, false, 581, 1,  5, 1,  0, 0}, // #938
8491  {DBGFIELD("STTRHi")             1, false, false, 581, 1,  5, 1,  0, 0}, // #939
8492  {DBGFIELD("STTRWi")             1, false, false, 581, 1,  5, 1,  0, 0}, // #940
8493  {DBGFIELD("STRBui")             1, false, false, 630, 2,  5, 1,  0, 0}, // #941
8494  {DBGFIELD("STRDui")             1, false, false, 630, 2,  5, 1,  0, 0}, // #942
8495  {DBGFIELD("STRHui")             1, false, false, 630, 2,  5, 1,  0, 0}, // #943
8496  {DBGFIELD("STRXui")             1, false, false, 581, 1,  5, 1,  0, 0}, // #944
8497  {DBGFIELD("STRWui")             1, false, false, 581, 1,  5, 1,  0, 0}, // #945
8498  {DBGFIELD("STRBBroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #946
8499  {DBGFIELD("STRBBroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #947
8500  {DBGFIELD("STRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #948
8501  {DBGFIELD("STRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #949
8502  {DBGFIELD("STRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #950
8503  {DBGFIELD("STRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #951
8504  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
8505  {DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 15, 1,  1, 1,  0, 0}, // #953
8506  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 15, 1,  1, 1,  0, 0}, // #954
8507  {DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 15, 1,  1, 1,  0, 0}, // #955
8508  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
8509  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
8510  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 386, 2,  5, 1,  0, 0}, // #958
8511  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
8512  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 386, 2,  5, 1,  0, 0}, // #960
8513  {DBGFIELD("FCMGEv1i16rz")       1, false, false, 386, 2,  5, 1,  0, 0}, // #961
8514  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #962
8515  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 399, 1,  1, 1,  0, 0}, // #963
8516  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 399, 1,  1, 1,  0, 0}, // #964
8517  {DBGFIELD("CASB_CASH_CASW")     1, false, false, 578, 2, 231, 1,  0, 0}, // #965
8518  {DBGFIELD("CASX")               1, false, false, 746, 3, 231, 1,  0, 0}, // #966
8519  {DBGFIELD("CASAB_CASAH_CASAW")  1, false, false, 578, 2, 231, 1,  0, 0}, // #967
8520  {DBGFIELD("CASAX")              1, false, false, 746, 3, 231, 1,  0, 0}, // #968
8521  {DBGFIELD("CASLB_CASLH_CASLW")  1, false, false, 578, 2, 231, 1,  0, 0}, // #969
8522  {DBGFIELD("CASLX")              1, false, false, 746, 3, 231, 1,  0, 0}, // #970
8523  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 338, 1,  8, 1,  0, 0}, // #971
8524  {DBGFIELD("LDADDB_LDADDH_LDADDW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #972
8525  {DBGFIELD("LDADDX")             1, false, false, 746, 3, 231, 1,  0, 0}, // #973
8526  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #974
8527  {DBGFIELD("LDADDAX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #975
8528  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #976
8529  {DBGFIELD("LDADDLX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #977
8530  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #978
8531  {DBGFIELD("LDADDALX")           1, false, false, 746, 3, 231, 1,  0, 0}, // #979
8532  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #980
8533  {DBGFIELD("LDCLRX")             1, false, false, 746, 3, 231, 1,  0, 0}, // #981
8534  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #982
8535  {DBGFIELD("LDCLRAX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #983
8536  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #984
8537  {DBGFIELD("LDCLRLX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #985
8538  {DBGFIELD("LDEORB_LDEORH_LDEORW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #986
8539  {DBGFIELD("LDEORX")             1, false, false, 746, 3, 231, 1,  0, 0}, // #987
8540  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #988
8541  {DBGFIELD("LDEORAX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #989
8542  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #990
8543  {DBGFIELD("LDEORLX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #991
8544  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #992
8545  {DBGFIELD("LDEORALX")           1, false, false, 746, 3, 231, 1,  0, 0}, // #993
8546  {DBGFIELD("LDSETB_LDSETH_LDSETW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #994
8547  {DBGFIELD("LDSETX")             1, false, false, 746, 3, 231, 1,  0, 0}, // #995
8548  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #996
8549  {DBGFIELD("LDSETAX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #997
8550  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #998
8551  {DBGFIELD("LDSETLX")            1, false, false, 746, 3, 231, 1,  0, 0}, // #999
8552  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #1000
8553  {DBGFIELD("LDSETALX")           1, false, false, 746, 3, 231, 1,  0, 0}, // #1001
8554  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #1002
8555  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #1003
8556  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #1004
8557  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #1005
8558  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 1, false, false, 578, 2, 231, 1,  0, 0}, // #1006
8559  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 1, false, false, 746, 3, 231, 1,  0, 0}, // #1007
8560  {DBGFIELD("SWPB_SWPH_SWPW")     1, false, false, 578, 2, 231, 1,  0, 0}, // #1008
8561  {DBGFIELD("SWPX")               1, false, false, 746, 3, 231, 1,  0, 0}, // #1009
8562  {DBGFIELD("SWPAB_SWPAH_SWPAW")  1, false, false, 578, 2, 231, 1,  0, 0}, // #1010
8563  {DBGFIELD("SWPAX")              1, false, false, 746, 3, 231, 1,  0, 0}, // #1011
8564  {DBGFIELD("SWPLB_SWPLH_SWPLW")  1, false, false, 578, 2, 231, 1,  0, 0}, // #1012
8565  {DBGFIELD("SWPLX")              1, false, false, 746, 3, 231, 1,  0, 0}, // #1013
8566  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 581, 1,  5, 1,  0, 0}, // #1014
8567  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
8568  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
8569  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
8570  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
8571  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
8572  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
8573  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
8574  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
8575  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
8576  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
8577  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
8578  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
8579  {DBGFIELD("M5WriteA1W_ReadExtrHi") 1, false, false, 24, 1,  5, 1,  0, 1}, // #1027
8580  {DBGFIELD("M5WriteAFW_ReadExtrHi") 2, false, false, 24, 1,  1, 1,  0, 1}, // #1028
8581  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
8582  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
8583  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
8584  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
8585  {DBGFIELD("M5WriteL5_ReadDefault") 1, false, false, 338, 1, 10, 1,  0, 0}, // #1033
8586  {DBGFIELD("M5WriteL4_ReadDefault") 1, false, false, 338, 1,  8, 1,  0, 0}, // #1034
8587  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
8588  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
8589  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
8590  {DBGFIELD("WriteST_ReadDefault") 1, false, false, 581, 1,  5, 1,  0, 0}, // #1038
8591  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
8592  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
8593  {DBGFIELD("M5WriteSB_ReadDefault") 1, false, false, 765, 3,  1, 1,  0, 0}, // #1041
8594  {DBGFIELD("M5WriteS1_ReadDefault") 1, false, false, 581, 1,  5, 1,  0, 0}, // #1042
8595  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
8596  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
8597  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
8598  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
8599  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
8600  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
8601  {DBGFIELD("M5WriteNALU2")       1, false, false, 353, 1,  1, 1,  0, 0}, // #1049
8602  {DBGFIELD("M5WriteZ0")          1, false, false,  0, 0,  0, 1,  0, 0}, // #1050
8603  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
8604  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
8605  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
8606  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
8607  {DBGFIELD("M5WriteAC")          3, false, false, 768, 3, 137, 1,  0, 0}, // #1055
8608  {DBGFIELD("M5WriteAB")          2, false, false, 737, 4,  1, 1,  0, 0}, // #1056
8609  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
8610  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
8611  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
8612  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
8613  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
8614  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
8615  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
8616  {DBGFIELD("M5WriteA1X")         1, false, false, 199, 2,  5, 1,  0, 0}, // #1064
8617  {DBGFIELD("M5WriteAAX")         1, false, false, 201, 2,  1, 1,  0, 0}, // #1065
8618  {DBGFIELD("M5WriteA1W")         1, false, false, 24, 1,  5, 1,  0, 0}, // #1066
8619  {DBGFIELD("M5WriteAFW")         2, false, false, 24, 1,  1, 1,  0, 0}, // #1067
8620  {DBGFIELD("M5WriteAFX")         2, false, false, 199, 2,  1, 1,  0, 0}, // #1068
8621  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
8622  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
8623  {DBGFIELD("M5WriteNEONO")       3, false, false, 771, 2, 26, 1,  0, 0}, // #1071
8624  {DBGFIELD("M5WriteNEONN")       2, false, false, 773, 2, 10, 1,  0, 0}, // #1072
8625  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
8626  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
8627  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
8628  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
8629  {DBGFIELD("M5WriteLE_ReadDefault") 2, false, false, 597, 3, 31, 1,  0, 0}, // #1077
8630  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
8631  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
8632  {DBGFIELD("WriteVLD_ReadDefault") 1, false, false, 338, 1, 21, 1,  0, 0}, // #1080
8633  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
8634  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
8635  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
8636  {DBGFIELD("M5WriteL6_ReadDefault") 1, false, false, 338, 1, 21, 1,  0, 0}, // #1084
8637  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
8638  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
8639  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
8640  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
8641  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
8642  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
8643  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
8644  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
8645  {DBGFIELD("WriteVST_ReadDefault") 1, false, false, 630, 2,  5, 1,  0, 0}, // #1093
8646  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
8647  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
8648  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
8649  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
8650  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
8651  {DBGFIELD("M5WriteVSTK_ReadDefault") 2, false, false, 638, 4, 137, 1,  0, 0}, // #1099
8652  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
8653  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
8654  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
8655  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
8656  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
8657  {DBGFIELD("M5WriteNALU1")       1, false, false, 353, 1,  5, 1,  0, 0}, // #1105
8658  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
8659  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
8660  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
8661  {DBGFIELD("M5WriteAAW")         1, false, false, 98, 1,  1, 1,  0, 0}, // #1109
8662}; // ExynosM5ModelSchedClasses
8663
8664// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
8665static const llvm::MCSchedClassDesc FalkorModelSchedClasses[] = {
8666  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
8667  {DBGFIELD("WriteV")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #1
8668  {DBGFIELD("WriteI_ReadI_ReadI") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #2
8669  {DBGFIELD("WriteI_ReadI")       16383, false, false,  0, 0,  0, 0,  0, 0}, // #3
8670  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #4
8671  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #5
8672  {DBGFIELD("WriteAdr")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #6
8673  {DBGFIELD("WriteI")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #7
8674  {DBGFIELD("WriteIS_ReadI")      16383, false, false,  0, 0,  0, 0,  0, 0}, // #8
8675  {DBGFIELD("WriteSys")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #9
8676  {DBGFIELD("WriteBr")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #10
8677  {DBGFIELD("WriteBrReg")         16383, false, false,  0, 0,  0, 0,  0, 0}, // #11
8678  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
8679  {DBGFIELD("WriteBarrier")       16383, false, false,  0, 0,  0, 0,  0, 0}, // #13
8680  {DBGFIELD("WriteExtr_ReadExtrHi") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #14
8681  {DBGFIELD("WriteF")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #15
8682  {DBGFIELD("WriteFCmp")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #16
8683  {DBGFIELD("WriteFCvt")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #17
8684  {DBGFIELD("WriteFDiv")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #18
8685  {DBGFIELD("WriteFMul")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #19
8686  {DBGFIELD("WriteFCopy")         16383, false, false,  0, 0,  0, 0,  0, 0}, // #20
8687  {DBGFIELD("WriteFImm")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #21
8688  {DBGFIELD("WriteHint")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #22
8689  {DBGFIELD("WriteST")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #23
8690  {DBGFIELD("WriteLD")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #24
8691  {DBGFIELD("WriteLD_WriteLDHi")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #25
8692  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #26
8693  {DBGFIELD("WriteLD_WriteAdr")   16383, false, false,  0, 0,  0, 0,  0, 0}, // #27
8694  {DBGFIELD("WriteLDIdx_ReadAdrBase") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #28
8695  {DBGFIELD("WriteLDAdr")         16383, false, false,  0, 0,  0, 0,  0, 0}, // #29
8696  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #30
8697  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #31
8698  {DBGFIELD("WriteImm")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #32
8699  {DBGFIELD("WriteAdrAdr")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #33
8700  {DBGFIELD("WriteID32_ReadID_ReadID") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #34
8701  {DBGFIELD("WriteID64_ReadID_ReadID") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #35
8702  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #36
8703  {DBGFIELD("WriteSTP")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #37
8704  {DBGFIELD("WriteAdr_WriteSTP")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #38
8705  {DBGFIELD("WriteAdr_WriteST")   16383, false, false,  0, 0,  0, 0,  0, 0}, // #39
8706  {DBGFIELD("WriteSTX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #40
8707  {DBGFIELD("WriteSTIdx_ReadAdrBase") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #41
8708  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #42
8709  {DBGFIELD("COPY")               1, false, false, 366, 2,  5, 1,  0, 0}, // #43
8710  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 99, 1, 137, 1, 112, 1}, // #44
8711  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 99, 1, 137, 1, 112, 1}, // #45
8712  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 99, 1, 137, 1, 112, 1}, // #46
8713  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #47
8714  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 126, 1, 137, 1, 112, 1}, // #48
8715  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 127, 1, 137, 1, 112, 1}, // #49
8716  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #50
8717  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #51
8718  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #52
8719  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #53
8720  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #54
8721  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #55
8722  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 99, 1, 137, 1, 112, 1}, // #56
8723  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #57
8724  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 99, 1, 137, 1, 112, 1}, // #58
8725  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #59
8726  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #60
8727  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #61
8728  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #62
8729  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #63
8730  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 2, false, false, 125, 1, 137, 1, 112, 1}, // #64
8731  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 126, 1, 137, 1, 112, 1}, // #65
8732  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 8, false, false, 775, 2,  8, 1, 112, 1}, // #66
8733  {DBGFIELD("LD3Threev2d")        3, false, false, 126, 1, 137, 1, 112, 1}, // #67
8734  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #68
8735  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #69
8736  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 9, false, false, 777, 4, 262, 2, 112, 1}, // #70
8737  {DBGFIELD("LD3Threev2d_POST")   3, false, false, 126, 1, 260, 2, 112, 1}, // #71
8738  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 2, false, false, 125, 1, 137, 1, 112, 1}, // #72
8739  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 127, 1, 137, 1, 112, 1}, // #73
8740  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 8, false, false, 775, 2,  8, 1, 112, 1}, // #74
8741  {DBGFIELD("LD4Fourv2d")         4, false, false, 127, 1, 137, 1, 112, 1}, // #75
8742  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #76
8743  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #77
8744  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 9, false, false, 777, 4, 262, 2, 112, 1}, // #78
8745  {DBGFIELD("LD4Fourv2d_POST")    4, false, false, 127, 1, 260, 2, 112, 1}, // #79
8746  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 162, 2,  0, 1, 113, 1}, // #80
8747  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 2, false, false, 162, 2,  0, 1, 113, 1}, // #81
8748  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 4, false, false, 781, 2,  0, 1, 113, 1}, // #82
8749  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 6, false, false, 783, 2,  0, 1, 113, 1}, // #83
8750  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 8, false, false, 785, 2,  0, 1, 113, 1}, // #84
8751  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 162, 2, 264, 2, 113, 1}, // #85
8752  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #86
8753  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #87
8754  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 7, false, false, 795, 4, 266, 2, 113, 1}, // #88
8755  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 9, false, false, 799, 4, 266, 2, 113, 1}, // #89
8756  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 162, 2,  0, 1, 113, 1}, // #90
8757  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 162, 2,  0, 1, 113, 1}, // #91
8758  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 4, false, false, 781, 2,  0, 1, 113, 1}, // #92
8759  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #93
8760  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #94
8761  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #95
8762  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 4, false, false, 781, 2,  0, 1, 113, 1}, // #96
8763  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 10, false, false, 803, 3,  0, 1, 113, 1}, // #97
8764  {DBGFIELD("ST3Threev2d")        6, false, false, 783, 2,  0, 1, 113, 1}, // #98
8765  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #99
8766  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 11, false, false, 806, 5, 266, 2, 113, 1}, // #100
8767  {DBGFIELD("ST3Threev2d_POST")   7, false, false, 795, 4, 266, 2, 113, 1}, // #101
8768  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 4, false, false, 781, 2,  0, 1, 113, 1}, // #102
8769  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 12, false, false, 811, 3,  0, 1, 113, 1}, // #103
8770  {DBGFIELD("ST4Fourv2d")         8, false, false, 785, 2,  0, 1, 113, 1}, // #104
8771  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #105
8772  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 13, false, false, 814, 5, 266, 2, 113, 1}, // #106
8773  {DBGFIELD("ST4Fourv2d_POST")    9, false, false, 799, 4, 266, 2, 113, 1}, // #107
8774  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #108
8775  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #109
8776  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
8777  {DBGFIELD("FDIVSrr")            2, false, false, 819, 3, 117, 1,  0, 0}, // #111
8778  {DBGFIELD("FDIVDrr")            2, false, false, 819, 3, 211, 1,  0, 0}, // #112
8779  {DBGFIELD("FDIVv2f32_FDIVv4f32") 4, false, false, 822, 3, 268, 1,  0, 0}, // #113
8780  {DBGFIELD("FDIVv2f64")          4, false, false, 822, 3, 211, 1,  0, 0}, // #114
8781  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 2, false, false, 33, 1, 269, 1,  0, 0}, // #115
8782  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 2, false, false, 33, 1, 270, 1,  0, 0}, // #116
8783  {DBGFIELD("BL")                 1, false, false, 367, 1,  0, 1,  0, 0}, // #117
8784  {DBGFIELD("BLR")                2, false, false, 825, 5,  0, 1,  0, 0}, // #118
8785  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
8786  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false, 830, 4, 271, 1,  0, 0}, // #120
8787  {DBGFIELD("EXTRWrri")           2, false, false, 826, 2,  1, 1,  0, 0}, // #121
8788  {DBGFIELD("EXTRXrri")           2, false, false, 826, 2,  1, 1,  0, 0}, // #122
8789  {DBGFIELD("BFMWri_BFMXri")      1, false, false, 366, 2,  5, 1,  0, 0}, // #123
8790  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #124
8791  {DBGFIELD("AESDrr_AESErr")      2, false, false, 33, 1, 137, 1,  0, 0}, // #125
8792  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #126
8793  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #127
8794  {DBGFIELD("SHA1SU0rrr")         2, false, false, 33, 1,  1, 1,  0, 0}, // #128
8795  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  2, false, false, 33, 1,  1, 1,  0, 0}, // #129
8796  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 2, false, false, 819, 3,  8, 1,  0, 0}, // #130
8797  {DBGFIELD("SHA256SU0rr")        2, false, false, 33, 1,  1, 1,  0, 0}, // #131
8798  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 2, false, false, 819, 3, 10, 1,  0, 0}, // #132
8799  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 830, 4,  1, 1,  0, 0}, // #133
8800  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 834, 2,  8, 1, 112, 1}, // #134
8801  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 2, false, false, 834, 2, 262, 2, 112, 1}, // #135
8802  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 99, 1, 137, 1, 112, 1}, // #136
8803  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #137
8804  {DBGFIELD("LD1Rv1d")            1, false, false, 99, 1, 137, 1, 112, 1}, // #138
8805  {DBGFIELD("LD1Rv1d_POST")       1, false, false, 99, 1, 260, 2, 112, 1}, // #139
8806  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 99, 1, 137, 1, 112, 1}, // #140
8807  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #141
8808  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 99, 1, 137, 1, 112, 1}, // #142
8809  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #143
8810  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 125, 1, 137, 1, 112, 1}, // #144
8811  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 3, false, false, 125, 1, 260, 2, 112, 1}, // #145
8812  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 125, 1, 137, 1, 112, 1}, // #146
8813  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 4, false, false, 125, 1, 260, 2, 112, 1}, // #147
8814  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 834, 2,  8, 1, 112, 1}, // #148
8815  {DBGFIELD("LD2i16_POST_LD2i8_POST") 3, false, false, 834, 2, 262, 2, 112, 1}, // #149
8816  {DBGFIELD("LD2i32")             3, false, false, 834, 2,  8, 1, 112, 1}, // #150
8817  {DBGFIELD("LD2i32_POST")        3, false, false, 834, 2, 262, 2, 112, 1}, // #151
8818  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 99, 1, 137, 1, 112, 1}, // #152
8819  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #153
8820  {DBGFIELD("LD2Rv1d")            2, false, false, 99, 1, 137, 1, 112, 1}, // #154
8821  {DBGFIELD("LD2Rv1d_POST")       2, false, false, 99, 1, 260, 2, 112, 1}, // #155
8822  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #156
8823  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #157
8824  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 836, 2,  8, 1, 112, 1}, // #158
8825  {DBGFIELD("LD3i16_POST_LD3i8_POST") 4, false, false, 836, 2, 262, 2, 112, 1}, // #159
8826  {DBGFIELD("LD3i32")             4, false, false, 836, 2,  8, 1, 112, 1}, // #160
8827  {DBGFIELD("LD3i32_POST")        4, false, false, 836, 2, 262, 2, 112, 1}, // #161
8828  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 125, 1, 137, 1, 112, 1}, // #162
8829  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 3, false, false, 125, 1, 260, 2, 112, 1}, // #163
8830  {DBGFIELD("LD3Rv1d")            3, false, false, 125, 1, 137, 1, 112, 1}, // #164
8831  {DBGFIELD("LD3Rv1d_POST")       3, false, false, 125, 1, 260, 2, 112, 1}, // #165
8832  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 126, 1, 137, 1, 112, 1}, // #166
8833  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #167
8834  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 5, false, false, 838, 2,  8, 1, 112, 1}, // #168
8835  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 5, false, false, 838, 2, 262, 2, 112, 1}, // #169
8836  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 840, 2,  8, 1, 112, 1}, // #170
8837  {DBGFIELD("LD4i16_POST_LD4i8_POST") 5, false, false, 840, 2, 262, 2, 112, 1}, // #171
8838  {DBGFIELD("LD4i32")             5, false, false, 840, 2,  8, 1, 112, 1}, // #172
8839  {DBGFIELD("LD4i32_POST")        5, false, false, 840, 2, 262, 2, 112, 1}, // #173
8840  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 125, 1, 137, 1, 112, 1}, // #174
8841  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 4, false, false, 125, 1, 260, 2, 112, 1}, // #175
8842  {DBGFIELD("LD4Rv1d")            4, false, false, 125, 1, 137, 1, 112, 1}, // #176
8843  {DBGFIELD("LD4Rv1d_POST")       4, false, false, 125, 1, 260, 2, 112, 1}, // #177
8844  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 127, 1, 137, 1, 112, 1}, // #178
8845  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #179
8846  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 6, false, false, 838, 2,  8, 1, 112, 1}, // #180
8847  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 6, false, false, 838, 2, 262, 2, 112, 1}, // #181
8848  {DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 162, 2,  0, 1, 113, 1}, // #182
8849  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 162, 2, 264, 2, 113, 1}, // #183
8850  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 2, false, false, 162, 2,  0, 1, 113, 1}, // #184
8851  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 162, 2, 264, 2, 113, 1}, // #185
8852  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 162, 2,  0, 1, 113, 1}, // #186
8853  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #187
8854  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 4, false, false, 781, 2,  0, 1, 113, 1}, // #188
8855  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #189
8856  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 781, 2,  0, 1, 113, 1}, // #190
8857  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #191
8858  {DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 162, 2,  0, 1, 113, 1}, // #192
8859  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #193
8860  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 4, false, false, 781, 2,  0, 1, 113, 1}, // #194
8861  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #195
8862  {DBGFIELD("ST3i16_ST3i8")       4, false, false, 781, 2,  0, 1, 113, 1}, // #196
8863  {DBGFIELD("ST3i16_POST_ST3i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #197
8864  {DBGFIELD("ST3i32")             4, false, false, 781, 2,  0, 1, 113, 1}, // #198
8865  {DBGFIELD("ST3i32_POST")        5, false, false, 791, 4, 266, 2, 113, 1}, // #199
8866  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 5, false, false, 842, 3,  0, 1, 113, 1}, // #200
8867  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 6, false, false, 845, 5, 266, 2, 113, 1}, // #201
8868  {DBGFIELD("ST4i16_ST4i8")       4, false, false, 781, 2,  0, 1, 113, 1}, // #202
8869  {DBGFIELD("ST4i16_POST_ST4i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #203
8870  {DBGFIELD("ST4i32")             4, false, false, 781, 2,  0, 1, 113, 1}, // #204
8871  {DBGFIELD("ST4i32_POST")        5, false, false, 791, 4, 266, 2, 113, 1}, // #205
8872  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 6, false, false, 850, 3,  0, 1, 113, 1}, // #206
8873  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 853, 5, 266, 2, 113, 1}, // #207
8874  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 2, false, false, 33, 1,  8, 1,  0, 0}, // #208
8875  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 4, false, false, 39, 1,  8, 1,  0, 0}, // #209
8876  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
8877  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 4, false, false, 39, 1, 137, 1,  0, 0}, // #211
8878  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 12, 1,  8, 1,  0, 0}, // #212
8879  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 3, false, false, 33, 1, 10, 1,  0, 0}, // #213
8880  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 3, false, false, 33, 1, 21, 1,  0, 0}, // #214
8881  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 12, 1, 137, 1,  0, 0}, // #215
8882  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 12, 1,  8, 1,  0, 0}, // #216
8883  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 12, 1, 10, 1,  0, 0}, // #217
8884  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 12, 1,  1, 1,  0, 0}, // #218
8885  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 33, 1,  1, 1,  0, 0}, // #219
8886  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 12, 1, 272, 1, 114, 2}, // #220
8887  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 2, false, false, 33, 1, 273, 1, 114, 2}, // #221
8888  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
8889  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 2, false, false, 33, 1, 273, 1, 114, 2}, // #223
8890  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
8891  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 12, 1, 272, 1,  0, 0}, // #225
8892  {DBGFIELD("PMULLv16i8_PMULLv8i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #226
8893  {DBGFIELD("PMULLv1i64_PMULLv2i64") 2, false, false, 33, 1, 137, 1,  0, 0}, // #227
8894  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
8895  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #229
8896  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
8897  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #231
8898  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
8899  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #233
8900  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
8901  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #235
8902  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #236
8903  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #237
8904  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #238
8905  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 12, 1, 137, 1,  0, 0}, // #239
8906  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #240
8907  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 12, 1, 137, 1,  0, 0}, // #241
8908  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #242
8909  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 12, 1,  1, 1,  0, 0}, // #243
8910  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 33, 1,  1, 1,  0, 0}, // #244
8911  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 3, false, false, 33, 1, 10, 1,  0, 0}, // #245
8912  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 12, 1,  8, 1,  0, 0}, // #246
8913  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 2, false, false, 33, 1,  8, 1,  0, 0}, // #247
8914  {DBGFIELD("FDIVv2f32")          2, false, false, 819, 3, 117, 1,  0, 0}, // #248
8915  {DBGFIELD("FSQRTv2f32")         2, false, false, 819, 3, 201, 1,  0, 0}, // #249
8916  {DBGFIELD("FSQRTv4f32")         4, false, false, 822, 3, 274, 1,  0, 0}, // #250
8917  {DBGFIELD("FSQRTv2f64")         4, false, false, 822, 3, 226, 1,  0, 0}, // #251
8918  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #252
8919  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #253
8920  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 12, 1,  1, 1,  0, 0}, // #254
8921  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #255
8922  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #256
8923  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 12, 1, 275, 1,  0, 0}, // #257
8924  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 2, false, false, 33, 1, 269, 1,  0, 0}, // #258
8925  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 12, 1, 275, 1, 116, 2}, // #259
8926  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 2, false, false, 33, 1, 269, 1, 118, 2}, // #260
8927  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #261
8928  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #262
8929  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 33, 1,  5, 1,  0, 0}, // #263
8930  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #264
8931  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #265
8932  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 113, 1,  5, 1,  0, 0}, // #266
8933  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
8934  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #268
8935  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 12, 1, 137, 1,  0, 0}, // #269
8936  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 12, 1, 137, 1,  0, 0}, // #270
8937  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 12, 1, 137, 1,  0, 0}, // #271
8938  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #272
8939  {DBGFIELD("FRSQRTEv2f64")       2, false, false, 33, 1, 137, 1,  0, 0}, // #273
8940  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #274
8941  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 12, 1, 275, 1,  0, 0}, // #275
8942  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 12, 1, 276, 1,  0, 0}, // #276
8943  {DBGFIELD("FRSQRTS64")          1, false, false, 12, 1, 275, 1,  0, 0}, // #277
8944  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 2, false, false, 33, 1, 270, 1,  0, 0}, // #278
8945  {DBGFIELD("TBLv8i8One_TBXv8i8One") 2, false, false, 33, 1,  8, 1,  0, 0}, // #279
8946  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 3, false, false, 33, 1, 10, 1,  0, 0}, // #280
8947  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 4, false, false, 39, 1, 21, 1,  0, 0}, // #281
8948  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 5, false, false, 858, 1, 31, 1,  0, 0}, // #282
8949  {DBGFIELD("TBLv16i8One_TBXv16i8One") 2, false, false, 33, 1,  8, 1,  0, 0}, // #283
8950  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 3, false, false, 33, 1, 10, 1,  0, 0}, // #284
8951  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 4, false, false, 39, 1, 21, 1,  0, 0}, // #285
8952  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 5, false, false, 858, 1, 31, 1,  0, 0}, // #286
8953  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false,  6, 1,  5, 1,  0, 0}, // #287
8954  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 859, 2,  1, 1,  0, 0}, // #288
8955  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 12, 1,  5, 1,  0, 0}, // #289
8956  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 12, 1, 137, 1,  0, 0}, // #290
8957  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 12, 1, 275, 1, 120, 2}, // #291
8958  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #292
8959  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false,  6, 1,  5, 1,  0, 0}, // #293
8960  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #294
8961  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
8962  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #296
8963  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #297
8964  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #298
8965  {DBGFIELD("FSQRTDr")            2, false, false, 819, 3, 226, 1,  0, 0}, // #299
8966  {DBGFIELD("FSQRTSr")            2, false, false, 819, 3, 201, 1,  0, 0}, // #300
8967  {DBGFIELD("LDNPDi")             2, false, false, 99, 1, 277, 2, 112, 1}, // #301
8968  {DBGFIELD("LDNPQi")             2, false, false, 125, 1, 277, 2, 112, 1}, // #302
8969  {DBGFIELD("LDNPSi")             2, false, false, 99, 1, 277, 2, 112, 1}, // #303
8970  {DBGFIELD("LDPDi")              2, false, false, 99, 1, 277, 2, 112, 1}, // #304
8971  {DBGFIELD("LDPDpost")           2, false, false, 99, 1, 279, 3, 112, 1}, // #305
8972  {DBGFIELD("LDPDpre")            2, false, false, 99, 1, 279, 3, 112, 1}, // #306
8973  {DBGFIELD("LDPQi")              2, false, false, 125, 1, 277, 2, 112, 1}, // #307
8974  {DBGFIELD("LDPQpost")           2, false, false, 125, 1, 279, 3, 112, 1}, // #308
8975  {DBGFIELD("LDPQpre")            2, false, false, 125, 1, 279, 3, 112, 1}, // #309
8976  {DBGFIELD("LDPSWi")             1, false, false, 99, 1, 11, 2, 112, 1}, // #310
8977  {DBGFIELD("LDPSWpost")          1, false, false, 99, 1, 282, 3, 112, 1}, // #311
8978  {DBGFIELD("LDPSWpre")           1, false, false, 99, 1, 282, 3, 112, 1}, // #312
8979  {DBGFIELD("LDPSi")              2, false, false, 99, 1, 277, 2, 112, 1}, // #313
8980  {DBGFIELD("LDPSpost")           2, false, false, 99, 1, 279, 3, 112, 1}, // #314
8981  {DBGFIELD("LDPSpre")            2, false, false, 99, 1, 279, 3, 112, 1}, // #315
8982  {DBGFIELD("LDRBpost")           1, false, false, 99, 1, 260, 2, 112, 1}, // #316
8983  {DBGFIELD("LDRBpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #317
8984  {DBGFIELD("LDRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #318
8985  {DBGFIELD("LDRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #319
8986  {DBGFIELD("LDRBui")             1, false, false, 99, 1, 137, 1, 112, 1}, // #320
8987  {DBGFIELD("LDRDl")              1, false, false, 99, 1, 137, 1, 112, 1}, // #321
8988  {DBGFIELD("LDRDpost")           1, false, false, 99, 1, 260, 2, 112, 1}, // #322
8989  {DBGFIELD("LDRDpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #323
8990  {DBGFIELD("LDRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #324
8991  {DBGFIELD("LDRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #325
8992  {DBGFIELD("LDRDui")             1, false, false, 99, 1, 137, 1, 112, 1}, // #326
8993  {DBGFIELD("LDRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #327
8994  {DBGFIELD("LDRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #328
8995  {DBGFIELD("LDRHpost")           1, false, false, 99, 1, 260, 2, 112, 1}, // #329
8996  {DBGFIELD("LDRHpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #330
8997  {DBGFIELD("LDRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #331
8998  {DBGFIELD("LDRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #332
8999  {DBGFIELD("LDRHui")             1, false, false, 99, 1, 137, 1, 112, 1}, // #333
9000  {DBGFIELD("LDRQl")              1, false, false, 99, 1, 137, 1, 112, 1}, // #334
9001  {DBGFIELD("LDRQpost")           1, false, false, 99, 1, 260, 2, 112, 1}, // #335
9002  {DBGFIELD("LDRQpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #336
9003  {DBGFIELD("LDRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #337
9004  {DBGFIELD("LDRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #338
9005  {DBGFIELD("LDRQui")             1, false, false, 99, 1, 137, 1, 112, 1}, // #339
9006  {DBGFIELD("LDRSHWroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #340
9007  {DBGFIELD("LDRSHWroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #341
9008  {DBGFIELD("LDRSHXroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #342
9009  {DBGFIELD("LDRSHXroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #343
9010  {DBGFIELD("LDRSl")              1, false, false, 99, 1, 137, 1, 112, 1}, // #344
9011  {DBGFIELD("LDRSpost")           1, false, false, 99, 1, 260, 2, 112, 1}, // #345
9012  {DBGFIELD("LDRSpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #346
9013  {DBGFIELD("LDRSroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #347
9014  {DBGFIELD("LDRSroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #348
9015  {DBGFIELD("LDRSui")             1, false, false, 99, 1, 137, 1, 112, 1}, // #349
9016  {DBGFIELD("LDURBi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #350
9017  {DBGFIELD("LDURDi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #351
9018  {DBGFIELD("LDURHi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #352
9019  {DBGFIELD("LDURQi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #353
9020  {DBGFIELD("LDURSi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #354
9021  {DBGFIELD("STNPDi")             2, false, false, 162, 2,  0, 1, 122, 1}, // #355
9022  {DBGFIELD("STNPQi")             4, false, false, 781, 2,  0, 1, 122, 1}, // #356
9023  {DBGFIELD("STNPXi")             2, false, false, 253, 2,  0, 1, 122, 1}, // #357
9024  {DBGFIELD("STPDi")              2, false, false, 162, 2,  0, 1, 122, 1}, // #358
9025  {DBGFIELD("STPDpost")           2, false, false, 162, 2, 264, 2, 122, 1}, // #359
9026  {DBGFIELD("STPDpre")            2, false, false, 162, 2, 264, 2, 122, 1}, // #360
9027  {DBGFIELD("STPQi")              4, false, false, 781, 2,  0, 1, 122, 1}, // #361
9028  {DBGFIELD("STPQpost")           4, false, false, 781, 2, 264, 2, 122, 1}, // #362
9029  {DBGFIELD("STPQpre")            4, false, false, 781, 2, 264, 2, 122, 1}, // #363
9030  {DBGFIELD("STPSpost")           2, false, false, 162, 2, 264, 2, 122, 1}, // #364
9031  {DBGFIELD("STPSpre")            2, false, false, 162, 2, 264, 2, 122, 1}, // #365
9032  {DBGFIELD("STPWpost")           2, false, false, 253, 2, 264, 2, 122, 1}, // #366
9033  {DBGFIELD("STPWpre")            2, false, false, 253, 2, 264, 2, 122, 1}, // #367
9034  {DBGFIELD("STPXi")              2, false, false, 253, 2,  0, 1, 122, 1}, // #368
9035  {DBGFIELD("STPXpost")           2, false, false, 253, 2, 264, 2, 122, 1}, // #369
9036  {DBGFIELD("STPXpre")            2, false, false, 253, 2, 264, 2, 122, 1}, // #370
9037  {DBGFIELD("STRBBpost")          2, false, false, 253, 2, 264, 2, 113, 1}, // #371
9038  {DBGFIELD("STRBBpre")           2, false, false, 253, 2, 264, 2, 113, 1}, // #372
9039  {DBGFIELD("STRBpost")           2, false, false, 162, 2, 264, 2, 113, 1}, // #373
9040  {DBGFIELD("STRBpre")            2, false, false, 162, 2, 264, 2, 113, 1}, // #374
9041  {DBGFIELD("STRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #375
9042  {DBGFIELD("STRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #376
9043  {DBGFIELD("STRDpost")           2, false, false, 162, 2, 264, 2, 113, 1}, // #377
9044  {DBGFIELD("STRDpre")            2, false, false, 162, 2, 264, 2, 113, 1}, // #378
9045  {DBGFIELD("STRHHpost")          2, false, false, 253, 2, 264, 2, 113, 1}, // #379
9046  {DBGFIELD("STRHHpre")           2, false, false, 253, 2, 264, 2, 113, 1}, // #380
9047  {DBGFIELD("STRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #381
9048  {DBGFIELD("STRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #382
9049  {DBGFIELD("STRHpost")           2, false, false, 162, 2, 264, 2, 113, 1}, // #383
9050  {DBGFIELD("STRHpre")            2, false, false, 162, 2, 264, 2, 113, 1}, // #384
9051  {DBGFIELD("STRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #385
9052  {DBGFIELD("STRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #386
9053  {DBGFIELD("STRQpost")           2, false, false, 162, 2, 264, 2, 113, 1}, // #387
9054  {DBGFIELD("STRQpre")            2, false, false, 162, 2, 264, 2, 113, 1}, // #388
9055  {DBGFIELD("STRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #389
9056  {DBGFIELD("STRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #390
9057  {DBGFIELD("STRQui")             2, false, false, 162, 2,  0, 1, 113, 1}, // #391
9058  {DBGFIELD("STRSpost")           2, false, false, 162, 2, 264, 2, 113, 1}, // #392
9059  {DBGFIELD("STRSpre")            2, false, false, 162, 2, 264, 2, 113, 1}, // #393
9060  {DBGFIELD("STRWpost")           2, false, false, 253, 2, 264, 2, 113, 1}, // #394
9061  {DBGFIELD("STRWpre")            2, false, false, 253, 2, 264, 2, 113, 1}, // #395
9062  {DBGFIELD("STRXpost")           2, false, false, 253, 2, 264, 2, 113, 1}, // #396
9063  {DBGFIELD("STRXpre")            2, false, false, 253, 2, 264, 2, 113, 1}, // #397
9064  {DBGFIELD("STURQi")             2, false, false, 162, 2,  0, 1, 113, 1}, // #398
9065  {DBGFIELD("MOVZWi_MOVZXi")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #399
9066  {DBGFIELD("ANDWri_ANDXri")      1, false, false, 366, 2,  5, 1,  0, 0}, // #400
9067  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #401
9068  {DBGFIELD("ISB")                1, false, false,  0, 0,  0, 1,  0, 0}, // #402
9069  {DBGFIELD("ORRv16i8")           2, false, false, 33, 1,  5, 1,  0, 0}, // #403
9070  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #404
9071  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 113, 1,  5, 1,  0, 0}, // #405
9072  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #406
9073  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 2, false, false, 33, 1, 137, 1,  0, 0}, // #407
9074  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #408
9075  {DBGFIELD("ADDVv16i8v")         2, false, false, 33, 1, 10, 1,  0, 0}, // #409
9076  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 12, 1,  8, 1,  0, 0}, // #410
9077  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 2, false, false, 33, 1,  8, 1,  0, 0}, // #411
9078  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 2, false, false, 33, 1, 137, 1,  0, 0}, // #412
9079  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 2, false, false, 33, 1, 137, 1,  0, 0}, // #413
9080  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 2, false, false, 33, 1, 21, 1,  0, 0}, // #414
9081  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #415
9082  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #416
9083  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #417
9084  {DBGFIELD("FADDPv2i32p")        1, false, false, 12, 1, 137, 1,  0, 0}, // #418
9085  {DBGFIELD("FADDPv2i64p")        1, false, false, 12, 1, 137, 1,  0, 0}, // #419
9086  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #420
9087  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 12, 1,  1, 1,  0, 0}, // #421
9088  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 2, false, false, 33, 1,  1, 1,  0, 0}, // #422
9089  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false, 12, 1, 137, 1,  0, 0}, // #423
9090  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 12, 1, 137, 1,  0, 0}, // #424
9091  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #425
9092  {DBGFIELD("FADDPv4f32")         2, false, false, 33, 1, 137, 1,  0, 0}, // #426
9093  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #427
9094  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 12, 1,  1, 1,  0, 0}, // #428
9095  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
9096  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 33, 1,  1, 1,  0, 0}, // #430
9097  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #431
9098  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #432
9099  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
9100  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #434
9101  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 12, 1,  1, 1,  0, 0}, // #435
9102  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 2, false, false, 33, 1,  1, 1,  0, 0}, // #436
9103  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #437
9104  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #438
9105  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #439
9106  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #440
9107  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #441
9108  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #442
9109  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #443
9110  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #444
9111  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 33, 1, 273, 1,  0, 0}, // #445
9112  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 12, 1, 272, 1,  0, 0}, // #446
9113  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false, 33, 1, 273, 1,  0, 0}, // #447
9114  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 12, 1, 275, 1,  0, 0}, // #448
9115  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 2, false, false, 33, 1, 270, 1,  0, 0}, // #449
9116  {DBGFIELD("FMULX64")            1, false, false, 12, 1, 275, 1,  0, 0}, // #450
9117  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
9118  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 12, 1, 276, 1, 123, 2}, // #452
9119  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 12, 1, 275, 1, 116, 2}, // #453
9120  {DBGFIELD("FMLAv4f32")          2, false, false, 33, 1, 269, 1, 118, 2}, // #454
9121  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 2, false, false, 33, 1, 270, 1, 116, 2}, // #455
9122  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #456
9123  {DBGFIELD("URSQRTEv2i32")       1, false, false, 12, 1, 137, 1,  0, 0}, // #457
9124  {DBGFIELD("URSQRTEv4i32")       2, false, false, 33, 1, 137, 1,  0, 0}, // #458
9125  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #459
9126  {DBGFIELD("FRECPSv2f32")        1, false, false, 12, 1, 276, 1,  0, 0}, // #460
9127  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #461
9128  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 12, 1, 276, 1,  0, 0}, // #462
9129  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #463
9130  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 12, 1,  8, 1,  0, 0}, // #464
9131  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 10, 1,  8, 1,  0, 0}, // #465
9132  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false, 12, 1,  1, 1,  0, 0}, // #466
9133  {DBGFIELD("SHA256SU1rrr")       4, false, false, 39, 1, 137, 1,  0, 0}, // #467
9134  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 12, 1,  5, 1,  0, 0}, // #468
9135  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #469
9136  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #470
9137  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #471
9138  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false, 12, 1, 137, 1,  0, 0}, // #472
9139  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 12, 1, 137, 1,  0, 0}, // #473
9140  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 12, 1,  8, 1,  0, 0}, // #474
9141  {DBGFIELD("FCVTXNv1i64")        1, false, false, 12, 1,  8, 1,  0, 0}, // #475
9142  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 12, 1, 276, 1,  0, 0}, // #476
9143  {DBGFIELD("FMULX32")            1, false, false, 12, 1, 276, 1,  0, 0}, // #477
9144  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 2, false, false, 33, 1,  5, 1,  0, 0}, // #478
9145  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #479
9146  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #480
9147  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 2, false, false, 33, 1,  1, 1,  0, 0}, // #481
9148  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 2, false, false, 33, 1,  8, 1,  0, 0}, // #482
9149  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 2, false, false, 33, 1,  8, 1,  0, 0}, // #483
9150  {DBGFIELD("FMULXv2f64_FMULv2f64") 2, false, false, 33, 1, 269, 1,  0, 0}, // #484
9151  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 3, false, false, 33, 1,  8, 1,  0, 0}, // #485
9152  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #486
9153  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #487
9154  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #488
9155  {DBGFIELD("ADDPv2i64p")         1, false, false, 12, 1,  5, 1,  0, 0}, // #489
9156  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #490
9157  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 12, 1,  5, 1,  0, 0}, // #491
9158  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #492
9159  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #493
9160  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 12, 1,  1, 1,  0, 0}, // #494
9161  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #495
9162  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 12, 1,  1, 1,  0, 0}, // #496
9163  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 12, 1,  1, 1,  0, 0}, // #497
9164  {DBGFIELD("SSHRd_USHRd")        1, false, false, 12, 1,  1, 1,  0, 0}, // #498
9165  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #499
9166  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #500
9167  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #501
9168  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #502
9169  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 12, 1,  1, 1,  0, 0}, // #503
9170  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #504
9171  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 12, 1,  1, 1,  0, 0}, // #505
9172  {DBGFIELD("SHLd")               1, false, false, 12, 1,  1, 1,  0, 0}, // #506
9173  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #507
9174  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #508
9175  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #509
9176  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 12, 1, 137, 1,  0, 0}, // #510
9177  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 12, 1, 137, 1,  0, 0}, // #511
9178  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #512
9179  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #513
9180  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #514
9181  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 12, 1, 137, 1,  0, 0}, // #515
9182  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #516
9183  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #517
9184  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #518
9185  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #519
9186  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #520
9187  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #521
9188  {DBGFIELD("ADDVv4i16v")         1, false, false, 12, 1, 137, 1,  0, 0}, // #522
9189  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #523
9190  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #524
9191  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #525
9192  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 12, 1, 272, 1,  0, 0}, // #526
9193  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 12, 1, 272, 1, 114, 2}, // #527
9194  {DBGFIELD("ADDVv4i32v")         2, false, false, 33, 1, 137, 1,  0, 0}, // #528
9195  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 2, false, false, 33, 1,  8, 1,  0, 0}, // #529
9196  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 2, false, false, 33, 1, 21, 1,  0, 0}, // #530
9197  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 2, false, false, 33, 1,  5, 1,  0, 0}, // #531
9198  {DBGFIELD("ADDPv2i64")          2, false, false, 33, 1,  5, 1,  0, 0}, // #532
9199  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 2, false, false, 33, 1,  5, 1,  0, 0}, // #533
9200  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 2, false, false, 33, 1,  5, 1,  0, 0}, // #534
9201  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false, 33, 1,  5, 1,  0, 0}, // #535
9202  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #536
9203  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #537
9204  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 2, false, false, 33, 1,  1, 1,  0, 0}, // #538
9205  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #539
9206  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #540
9207  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #541
9208  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #542
9209  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 2, false, false, 33, 1,  1, 1,  0, 0}, // #543
9210  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #544
9211  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #545
9212  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #546
9213  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #547
9214  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #548
9215  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #549
9216  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false, 33, 1, 273, 1,  0, 0}, // #550
9217  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 2, false, false, 33, 1, 273, 1, 114, 2}, // #551
9218  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 3, false, false, 33, 1, 137, 1,  0, 0}, // #552
9219  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 4, false, false, 39, 1,  1, 1,  0, 0}, // #553
9220  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 12, 1, 272, 1, 114, 2}, // #554
9221  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 2, false, false, 33, 1, 273, 1, 114, 2}, // #555
9222  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 366, 2,  5, 1,  0, 0}, // #556
9223  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #557
9224  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #558
9225  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 366, 2,  5, 1,  0, 0}, // #559
9226  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #560
9227  {DBGFIELD("ADDXrr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #561
9228  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #562
9229  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false, 366, 2,  5, 1,  0, 0}, // #563
9230  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #564
9231  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 1, false, false, 366, 2,  5, 1,  0, 0}, // #565
9232  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #566
9233  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 1, false, false, 366, 2,  5, 1,  0, 0}, // #567
9234  {DBGFIELD("EONWrr_EONXrr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #568
9235  {DBGFIELD("EONWrs_EONXrs")      1, false, false, 366, 2,  5, 1,  0, 0}, // #569
9236  {DBGFIELD("EORWri_EORXri")      1, false, false, 366, 2,  5, 1,  0, 0}, // #570
9237  {DBGFIELD("EORWrr_EORXrr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #571
9238  {DBGFIELD("EORWrs_EORXrs")      1, false, false, 366, 2,  5, 1,  0, 0}, // #572
9239  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #573
9240  {DBGFIELD("ORNWrs_ORNXrs")      1, false, false, 366, 2,  5, 1,  0, 0}, // #574
9241  {DBGFIELD("ORRWri_ORRXri")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #575
9242  {DBGFIELD("ORRWrr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #576
9243  {DBGFIELD("ORRWrs_ORRXrs")      1, false, false, 366, 2,  5, 1,  0, 0}, // #577
9244  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #578
9245  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 366, 2,  5, 1,  0, 0}, // #579
9246  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #580
9247  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
9248  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #582
9249  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #583
9250  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 1, false, false, 10, 1,  5, 1,  0, 0}, // #584
9251  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 10, 1,  5, 1,  0, 0}, // #585
9252  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 1, false, false, 12, 1,  5, 1,  0, 0}, // #586
9253  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 12, 1,  5, 1,  0, 0}, // #587
9254  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 10, 1,  5, 1,  0, 0}, // #588
9255  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #589
9256  {DBGFIELD("EXTv8i8")            1, false, false, 12, 1,  5, 1,  0, 0}, // #590
9257  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 12, 1,  0, 1,  0, 0}, // #591
9258  {DBGFIELD("TBLv8i8One")         1, false, false, 12, 1,  5, 1,  0, 0}, // #592
9259  {DBGFIELD("NOTv8i8")            1, false, false, 12, 1,  5, 1,  0, 0}, // #593
9260  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #594
9261  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #595
9262  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #596
9263  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 12, 1, 137, 1,  0, 0}, // #597
9264  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 12, 1, 137, 1,  0, 0}, // #598
9265  {DBGFIELD("FRECPS32")           1, false, false, 12, 1, 276, 1,  0, 0}, // #599
9266  {DBGFIELD("EXTv16i8")           2, false, false, 33, 1,  5, 1,  0, 0}, // #600
9267  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 33, 1,  0, 1,  0, 0}, // #601
9268  {DBGFIELD("NOTv16i8")           2, false, false, 33, 1,  5, 1,  0, 0}, // #602
9269  {DBGFIELD("TBLv16i8One")        2, false, false, 33, 1,  5, 1,  0, 0}, // #603
9270  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #604
9271  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #605
9272  {DBGFIELD("TBLv8i8Two")         2, false, false, 33, 1,  8, 1,  0, 0}, // #606
9273  {DBGFIELD("FRECPSv4f32")        2, false, false, 33, 1, 269, 1,  0, 0}, // #607
9274  {DBGFIELD("TBLv16i8Two")        3, false, false, 33, 1, 10, 1,  0, 0}, // #608
9275  {DBGFIELD("TBLv8i8Three")       3, false, false, 33, 1, 10, 1,  0, 0}, // #609
9276  {DBGFIELD("TBLv16i8Three")      4, false, false, 39, 1, 21, 1,  0, 0}, // #610
9277  {DBGFIELD("TBLv8i8Four")        4, false, false, 39, 1, 21, 1,  0, 0}, // #611
9278  {DBGFIELD("TBLv16i8Four")       5, false, false, 858, 1, 31, 1,  0, 0}, // #612
9279  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 2, false, false, 162, 2,  0, 1, 113, 1}, // #613
9280  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #614
9281  {DBGFIELD("STPSi")              2, false, false, 162, 2,  0, 1, 122, 1}, // #615
9282  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 2, false, false, 162, 2,  0, 1, 113, 1}, // #616
9283  {DBGFIELD("STNPSi")             2, false, false, 162, 2,  0, 1, 122, 1}, // #617
9284  {DBGFIELD("B")                  1, false, false,  0, 0,  0, 1,  0, 0}, // #618
9285  {DBGFIELD("TCRETURNdi")         1, false, false,  0, 0,  0, 1,  0, 0}, // #619
9286  {DBGFIELD("BR_RET")             1, false, false, 861, 4,  0, 1,  0, 0}, // #620
9287  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 861, 4,  0, 1,  0, 0}, // #621
9288  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 861, 4,  0, 1,  0, 0}, // #622
9289  {DBGFIELD("Bcc")                1, false, false, 865, 2,  0, 1,  0, 0}, // #623
9290  {DBGFIELD("SHA1Hrr")            1, false, false, 12, 1,  5, 1,  0, 0}, // #624
9291  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 12, 1,  5, 1,  0, 0}, // #625
9292  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 12, 1,  5, 1,  0, 0}, // #626
9293  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #627
9294  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 12, 1,  5, 1,  0, 0}, // #628
9295  {DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 12, 1,  5, 1,  0, 0}, // #629
9296  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false, 12, 1,  1, 1,  0, 0}, // #630
9297  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 12, 1,  1, 1,  0, 0}, // #631
9298  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false, 12, 1, 137, 1,  0, 0}, // #632
9299  {DBGFIELD("FCVTSDr")            1, false, false, 12, 1,  8, 1,  0, 0}, // #633
9300  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 12, 1, 276, 1,  0, 0}, // #634
9301  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #635
9302  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false, 10, 1,  0, 1,  0, 0}, // #636
9303  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false, 12, 1,  0, 1,  0, 0}, // #637
9304  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 12, 1,  0, 1,  0, 0}, // #638
9305  {DBGFIELD("FMOVD0_FMOVS0")      2, false, false, 33, 1,  0, 1,  0, 0}, // #639
9306  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 12, 1,  8, 1,  0, 0}, // #640
9307  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 2, false, false, 33, 1,  8, 1,  0, 0}, // #641
9308  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 97, 1,  0, 1,  0, 0}, // #642
9309  {DBGFIELD("PRFUMi")             1, false, false, 97, 1,  0, 1,  0, 0}, // #643
9310  {DBGFIELD("LDNPWi_LDNPXi")      1, false, false, 99, 1, 277, 2, 112, 1}, // #644
9311  {DBGFIELD("LDPWi_LDPXi")        1, false, false, 99, 1, 277, 2, 112, 1}, // #645
9312  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 1, false, false, 99, 1, 279, 3, 112, 1}, // #646
9313  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #647
9314  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #648
9315  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #649
9316  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 99, 1, 137, 1, 112, 1}, // #650
9317  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #651
9318  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #652
9319  {DBGFIELD("PRFMroW_PRFMroX")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #653
9320  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 99, 1,  8, 1, 112, 1}, // #654
9321  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 99, 1, 262, 2, 112, 1}, // #655
9322  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #656
9323  {DBGFIELD("LDRSWl")             1, false, false, 99, 1,  8, 1, 112, 1}, // #657
9324  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 99, 1,  8, 1, 112, 1}, // #658
9325  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 99, 1,  8, 1, 112, 1}, // #659
9326  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 366, 2,  5, 1,  0, 0}, // #660
9327  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 366, 2,  1, 1,  0, 0}, // #661
9328  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 830, 4, 285, 1, 125, 2}, // #662
9329  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false, 830, 4, 286, 1, 127, 1}, // #663
9330  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false, 830, 4, 271, 1, 125, 2}, // #664
9331  {DBGFIELD("SDIVWr_UDIVWr")      2, false, false, 867, 6, 26, 1,  0, 0}, // #665
9332  {DBGFIELD("SDIVXr_UDIVXr")      2, false, false, 873, 6, 187, 1,  0, 0}, // #666
9333  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #667
9334  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false, 366, 2,  0, 1,  0, 0}, // #668
9335  {DBGFIELD("ADR_ADRP")           1, false, false, 367, 1,  0, 1,  0, 0}, // #669
9336  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false, 367, 1,  0, 1,  0, 0}, // #670
9337  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 366, 2,  0, 1,  0, 0}, // #671
9338  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 826, 2,  1, 1,  0, 0}, // #672
9339  {DBGFIELD("LOADgot")            2, false, false, 879, 3,  8, 1,  0, 0}, // #673
9340  {DBGFIELD("CLREX_DMB_DSB")      1, false, false, 99, 1,  0, 1,  0, 0}, // #674
9341  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  0, 1,  0, 0}, // #675
9342  {DBGFIELD("HINT")               1, false, false,  0, 0,  0, 1,  0, 0}, // #676
9343  {DBGFIELD("SYSxt_SYSLxt")       1, false, false, 97, 1,  0, 1,  0, 0}, // #677
9344  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 861, 4,  0, 1,  0, 0}, // #678
9345  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 99, 1, 137, 1, 112, 1}, // #679
9346  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 99, 1, 277, 2, 112, 1}, // #680
9347  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false, 99, 1, 137, 1,  0, 0}, // #681
9348  {DBGFIELD("DRPS")               2, false, false, 882, 5, 137, 1,  0, 0}, // #682
9349  {DBGFIELD("MSR")                2, false, false, 253, 2,  0, 1,  0, 0}, // #683
9350  {DBGFIELD("STNPWi")             2, false, false, 253, 2,  0, 1, 122, 1}, // #684
9351  {DBGFIELD("ERET")               3, false, false, 887, 5, 137, 1,  0, 0}, // #685
9352  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 3, false, false, 892, 3, 137, 1,  0, 0}, // #686
9353  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 3, false, false, 892, 3,  0, 1, 113, 1}, // #687
9354  {DBGFIELD("STXPW_STXPX")        3, false, false, 892, 3,  0, 1, 122, 1}, // #688
9355  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 3, false, false, 892, 3,  0, 1, 122, 1}, // #689
9356  {DBGFIELD("STLXPW_STLXPX")      4, false, false, 895, 3, 137, 1, 128, 1}, // #690
9357  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 4, false, false, 895, 3, 137, 1, 122, 1}, // #691
9358  {DBGFIELD("STPWi")              2, false, false, 253, 2,  0, 1, 122, 1}, // #692
9359  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 2, false, false, 253, 2,  0, 1, 113, 1}, // #693
9360  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #694
9361  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 2, false, false, 253, 2,  0, 1, 113, 1}, // #695
9362  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 2, false, false, 253, 2,  0, 1, 113, 1}, // #696
9363  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #697
9364  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 10, 1,  8, 1,  0, 0}, // #698
9365  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #699
9366  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 12, 1, 272, 1, 114, 2}, // #700
9367  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #701
9368  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #702
9369  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 2, false, false, 33, 1, 21, 1,  0, 0}, // #703
9370  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
9371  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #705
9372  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 12, 1,  8, 1,  0, 0}, // #706
9373  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
9374  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
9375  {DBGFIELD("ADDv1i64")           1, false, false, 12, 1,  5, 1,  0, 0}, // #709
9376  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false, 33, 1,  5, 1,  0, 0}, // #710
9377  {DBGFIELD("ANDSWri")            1, false, false, 366, 2,  5, 1,  0, 0}, // #711
9378  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false, 366, 2,  5, 1,  0, 0}, // #712
9379  {DBGFIELD("ANDSWrs_ANDWrs")     1, false, false, 366, 2,  5, 1,  0, 0}, // #713
9380  {DBGFIELD("ANDWri")             1, false, false, 366, 2,  5, 1,  0, 0}, // #714
9381  {DBGFIELD("BICSWrr_BICWrr")     1, false, false, 366, 2,  5, 1,  0, 0}, // #715
9382  {DBGFIELD("BICSWrs_BICWrs")     1, false, false, 366, 2,  5, 1,  0, 0}, // #716
9383  {DBGFIELD("EONWrr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #717
9384  {DBGFIELD("EONWrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #718
9385  {DBGFIELD("EORWri")             1, false, false, 366, 2,  5, 1,  0, 0}, // #719
9386  {DBGFIELD("EORWrr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #720
9387  {DBGFIELD("EORWrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #721
9388  {DBGFIELD("ORNWrr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #722
9389  {DBGFIELD("ORNWrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #723
9390  {DBGFIELD("ORRWrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #724
9391  {DBGFIELD("ORRWri")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #725
9392  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 366, 2,  1, 1,  0, 0}, // #726
9393  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 2, false, false, 33, 1,  1, 1,  0, 0}, // #727
9394  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #728
9395  {DBGFIELD("CSELWr_CSELXr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #729
9396  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #730
9397  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 12, 1,  1, 1,  0, 0}, // #731
9398  {DBGFIELD("FCMGEv2f32")         1, false, false, 12, 1,  1, 1,  0, 0}, // #732
9399  {DBGFIELD("FABDv2f32")          1, false, false, 12, 1, 137, 1,  0, 0}, // #733
9400  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 12, 1,  1, 1,  0, 0}, // #734
9401  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 12, 1,  1, 1,  0, 0}, // #735
9402  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #736
9403  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 12, 1,  8, 1,  0, 0}, // #737
9404  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 2, false, false, 33, 1,  8, 1,  0, 0}, // #738
9405  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #739
9406  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #740
9407  {DBGFIELD("FMLSv4f32")          2, false, false, 33, 1, 269, 1, 118, 2}, // #741
9408  {DBGFIELD("FMLAv2f64_FMLSv2f64") 2, false, false, 33, 1, 270, 1, 116, 2}, // #742
9409  {DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #743
9410  {DBGFIELD("FMOVXDHighr")        16382, false, false,  0, 0,  0, 0,  0, 0}, // #744
9411  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 12, 1, 276, 1,  0, 0}, // #745
9412  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 12, 1, 137, 1,  0, 0}, // #746
9413  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 12, 1, 137, 1,  0, 0}, // #747
9414  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 99, 1, 137, 1, 112, 1}, // #748
9415  {DBGFIELD("LDAXPW_LDAXPX")      1, false, false, 99, 1, 277, 2, 112, 1}, // #749
9416  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #750
9417  {DBGFIELD("MRS")                1, false, false, 99, 1, 137, 1,  0, 0}, // #751
9418  {DBGFIELD("MSRpstateImm4")      1, false, false, 861, 4,  0, 1,  0, 0}, // #752
9419  {DBGFIELD("RBITWr_RBITXr")      1, false, false, 366, 2,  1, 1,  0, 0}, // #753
9420  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #754
9421  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 12, 1, 137, 1,  0, 0}, // #755
9422  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 12, 1,  5, 1,  0, 0}, // #756
9423  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 12, 1,  5, 1,  0, 0}, // #757
9424  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 12, 1,  5, 1,  0, 0}, // #758
9425  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #759
9426  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 12, 1,  5, 1,  0, 0}, // #760
9427  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #761
9428  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 861, 4,  0, 1,  0, 0}, // #762
9429  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
9430  {DBGFIELD("ANDWrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #764
9431  {DBGFIELD("ANDXrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #765
9432  {DBGFIELD("BICWrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #766
9433  {DBGFIELD("BICXrs")             1, false, false, 366, 2,  5, 1,  0, 0}, // #767
9434  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
9435  {DBGFIELD("ADDWri_ADDXri")      1, false, false, 366, 2,  5, 1,  0, 0}, // #769
9436  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #770
9437  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #771
9438  {DBGFIELD("PRFMroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #772
9439  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #773
9440  {DBGFIELD("FABSDr_FABSSr")      1, false, false, 12, 1,  5, 1,  0, 0}, // #774
9441  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #775
9442  {DBGFIELD("FCVTZSh_FCVTZUh")    16383, false, false,  0, 0,  0, 0,  0, 0}, // #776
9443  {DBGFIELD("FRECPEv1f16")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #777
9444  {DBGFIELD("FRSQRTEv1f16")       16383, false, false,  0, 0,  0, 0,  0, 0}, // #778
9445  {DBGFIELD("FRECPXv1f16")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #779
9446  {DBGFIELD("FRECPS16_FRSQRTS16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #780
9447  {DBGFIELD("FMOVDXr")            1, false, false,  6, 1,  5, 1,  0, 0}, // #781
9448  {DBGFIELD("STRDroW_STRSroW")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #782
9449  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 12, 1,  0, 1,  0, 0}, // #783
9450  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 33, 1,  0, 1,  0, 0}, // #784
9451  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #785
9452  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #786
9453  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
9454  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 12, 1, 137, 1,  0, 0}, // #788
9455  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false, 33, 1, 137, 1,  0, 0}, // #789
9456  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 12, 1, 137, 1,  0, 0}, // #790
9457  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 2, false, false, 33, 1, 21, 1,  0, 0}, // #791
9458  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 2, false, false, 33, 1, 21, 1,  0, 0}, // #792
9459  {DBGFIELD("FABSv2f32")          1, false, false, 12, 1,  5, 1,  0, 0}, // #793
9460  {DBGFIELD("FABSv2f64_FABSv4f32") 2, false, false, 33, 1,  5, 1,  0, 0}, // #794
9461  {DBGFIELD("FABSv4f16_FABSv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #795
9462  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #796
9463  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
9464  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #798
9465  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #799
9466  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #800
9467  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #801
9468  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #802
9469  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #803
9470  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 12, 1,  8, 1,  0, 0}, // #804
9471  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #805
9472  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #806
9473  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #807
9474  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #808
9475  {DBGFIELD("FMLAv2f32")          1, false, false, 12, 1, 276, 1, 118, 2}, // #809
9476  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #810
9477  {DBGFIELD("FMLSv2f32")          1, false, false, 12, 1, 276, 1, 118, 2}, // #811
9478  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #812
9479  {DBGFIELD("FNEGv4f16_FNEGv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #813
9480  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #814
9481  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 10, 1,  5, 1,  0, 0}, // #815
9482  {DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 859, 2,  1, 1,  0, 0}, // #816
9483  {DBGFIELD("FABSHr")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #817
9484  {DBGFIELD("FADDHrr_FSUBHrr")    16383, false, false,  0, 0,  0, 0,  0, 0}, // #818
9485  {DBGFIELD("FADDPv2i16p")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #819
9486  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #820
9487  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #821
9488  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #822
9489  {DBGFIELD("FDIVHrr")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #823
9490  {DBGFIELD("FMULHrr_FNMULHrr")   16383, false, false,  0, 0,  0, 0,  0, 0}, // #824
9491  {DBGFIELD("FMULX16")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #825
9492  {DBGFIELD("FNEGHr")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #826
9493  {DBGFIELD("FCSELHrrr")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #827
9494  {DBGFIELD("FSQRTHr")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #828
9495  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #829
9496  {DBGFIELD("FMOVHi")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #830
9497  {DBGFIELD("FMOVHr")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #831
9498  {DBGFIELD("FMOVWHr_FMOVXHr")    16383, false, false,  0, 0,  0, 0,  0, 0}, // #832
9499  {DBGFIELD("FMOVHWr_FMOVHXr")    16383, false, false,  0, 0,  0, 0,  0, 0}, // #833
9500  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
9501  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 2, false, false, 33, 1, 273, 1, 114, 2}, // #835
9502  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 2, false, false, 33, 1, 273, 1, 114, 2}, // #836
9503  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 2, false, false, 33, 1, 273, 1,  0, 0}, // #837
9504  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 2, false, false, 33, 1, 273, 1,  0, 0}, // #838
9505  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #839
9506  {DBGFIELD("FDIVv4f16")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #840
9507  {DBGFIELD("FDIVv8f16")          16383, false, false,  0, 0,  0, 0,  0, 0}, // #841
9508  {DBGFIELD("FSQRTv4f16")         16383, false, false,  0, 0,  0, 0,  0, 0}, // #842
9509  {DBGFIELD("FSQRTv8f16")         16383, false, false,  0, 0,  0, 0,  0, 0}, // #843
9510  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 2, false, false, 33, 1,  1, 1,  0, 0}, // #844
9511  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 12, 1,  1, 1,  0, 0}, // #845
9512  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 12, 1,  0, 1,  0, 0}, // #846
9513  {DBGFIELD("PMULLv1i64")         2, false, false, 33, 1, 137, 1,  0, 0}, // #847
9514  {DBGFIELD("PMULLv8i8")          2, false, false, 33, 1,  1, 1,  0, 0}, // #848
9515  {DBGFIELD("SHA256H2rrr")        2, false, false, 819, 3, 10, 1,  0, 0}, // #849
9516  {DBGFIELD("TBNZW_TBZW")         1, false, false, 861, 4,  0, 1,  0, 0}, // #850
9517  {DBGFIELD("ADCSWr_ADCWr")       1, false, false, 366, 2,  5, 1,  0, 0}, // #851
9518  {DBGFIELD("SBCSWr_SBCWr")       1, false, false, 366, 2,  5, 1,  0, 0}, // #852
9519  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
9520  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
9521  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
9522  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
9523  {DBGFIELD("ADDSWrx_ADDWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #857
9524  {DBGFIELD("SUBSWrx_SUBWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #858
9525  {DBGFIELD("ADDWri")             1, false, false, 366, 2,  5, 1,  0, 0}, // #859
9526  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false, 366, 2,  5, 1,  0, 0}, // #860
9527  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #861
9528  {DBGFIELD("CSELWr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #862
9529  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false, 366, 2,  5, 1,  0, 0}, // #863
9530  {DBGFIELD("CSINVWr")            1, false, false, 366, 2,  5, 1,  0, 0}, // #864
9531  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 366, 2,  5, 1,  0, 0}, // #865
9532  {DBGFIELD("LSLVWr")             1, false, false, 366, 2,  5, 1,  0, 0}, // #866
9533  {DBGFIELD("BFMWri")             1, false, false, 366, 2,  5, 1,  0, 0}, // #867
9534  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false, 366, 2,  5, 1,  0, 0}, // #868
9535  {DBGFIELD("CLSWr_CLZWr")        1, false, false, 366, 2,  1, 1,  0, 0}, // #869
9536  {DBGFIELD("RBITWr")             1, false, false, 366, 2,  1, 1,  0, 0}, // #870
9537  {DBGFIELD("REVWr_REV16Wr")      1, false, false, 366, 2,  1, 1,  0, 0}, // #871
9538  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
9539  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
9540  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
9541  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
9542  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
9543  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 3, false, false, 892, 3, 137, 1,  0, 0}, // #877
9544  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
9545  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
9546  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
9547  {DBGFIELD("BRK")                1, false, false,  0, 0,  0, 1,  0, 0}, // #881
9548  {DBGFIELD("CBNZW_CBNZX")        1, false, false, 861, 4,  0, 1,  0, 0}, // #882
9549  {DBGFIELD("TBNZW")              1, false, false, 861, 4,  0, 1,  0, 0}, // #883
9550  {DBGFIELD("TBNZX")              1, false, false, 861, 4,  0, 1,  0, 0}, // #884
9551  {DBGFIELD("BR")                 1, false, false, 861, 4,  0, 1,  0, 0}, // #885
9552  {DBGFIELD("ADCWr")              1, false, false, 366, 2,  5, 1,  0, 0}, // #886
9553  {DBGFIELD("ADCXr")              1, false, false, 366, 2,  5, 1,  0, 0}, // #887
9554  {DBGFIELD("ASRVWr_RORVWr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #888
9555  {DBGFIELD("ASRVXr_RORVXr")      1, false, false, 366, 2,  5, 1,  0, 0}, // #889
9556  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
9557  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 830, 4,  1, 1,  0, 0}, // #891
9558  {DBGFIELD("LDNPWi")             1, false, false, 99, 1, 277, 2, 112, 1}, // #892
9559  {DBGFIELD("LDPWi")              1, false, false, 99, 1, 277, 2, 112, 1}, // #893
9560  {DBGFIELD("LDRWl")              1, false, false, 99, 1, 137, 1, 112, 1}, // #894
9561  {DBGFIELD("LDTRBi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #895
9562  {DBGFIELD("LDTRHi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #896
9563  {DBGFIELD("LDTRWi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #897
9564  {DBGFIELD("LDTRSBWi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #898
9565  {DBGFIELD("LDTRSBXi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #899
9566  {DBGFIELD("LDTRSHWi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #900
9567  {DBGFIELD("LDTRSHXi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #901
9568  {DBGFIELD("LDPWpre")            1, false, false, 99, 1, 279, 3, 112, 1}, // #902
9569  {DBGFIELD("LDRWpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #903
9570  {DBGFIELD("LDRXpre")            1, false, false, 99, 1, 260, 2, 112, 1}, // #904
9571  {DBGFIELD("LDRSBWpre")          1, false, false, 99, 1, 262, 2, 112, 1}, // #905
9572  {DBGFIELD("LDRSBXpre")          1, false, false, 99, 1, 262, 2, 112, 1}, // #906
9573  {DBGFIELD("LDRSBWpost")         1, false, false, 99, 1, 262, 2, 112, 1}, // #907
9574  {DBGFIELD("LDRSBXpost")         1, false, false, 99, 1, 262, 2, 112, 1}, // #908
9575  {DBGFIELD("LDRSHWpre")          1, false, false, 99, 1, 262, 2, 112, 1}, // #909
9576  {DBGFIELD("LDRSHXpre")          1, false, false, 99, 1, 262, 2, 112, 1}, // #910
9577  {DBGFIELD("LDRSHWpost")         1, false, false, 99, 1, 262, 2, 112, 1}, // #911
9578  {DBGFIELD("LDRSHXpost")         1, false, false, 99, 1, 262, 2, 112, 1}, // #912
9579  {DBGFIELD("LDRBBpre")           1, false, false, 99, 1, 260, 2, 112, 1}, // #913
9580  {DBGFIELD("LDRBBpost")          1, false, false, 99, 1, 260, 2, 112, 1}, // #914
9581  {DBGFIELD("LDRHHpre")           1, false, false, 99, 1, 260, 2, 112, 1}, // #915
9582  {DBGFIELD("LDRHHpost")          1, false, false, 99, 1, 260, 2, 112, 1}, // #916
9583  {DBGFIELD("LDPWpost")           1, false, false, 99, 1, 279, 3, 112, 1}, // #917
9584  {DBGFIELD("LDPXpost")           1, false, false, 99, 1, 279, 3, 112, 1}, // #918
9585  {DBGFIELD("LDRWpost")           1, false, false, 99, 1, 260, 2, 112, 1}, // #919
9586  {DBGFIELD("LDRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #920
9587  {DBGFIELD("LDRXroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #921
9588  {DBGFIELD("LDRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #922
9589  {DBGFIELD("LDRXroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #923
9590  {DBGFIELD("LDURBBi")            1, false, false, 99, 1, 137, 1, 112, 1}, // #924
9591  {DBGFIELD("LDURHHi")            1, false, false, 99, 1, 137, 1, 112, 1}, // #925
9592  {DBGFIELD("LDURXi")             1, false, false, 99, 1, 137, 1, 112, 1}, // #926
9593  {DBGFIELD("LDURSBWi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #927
9594  {DBGFIELD("LDURSBXi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #928
9595  {DBGFIELD("LDURSHWi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #929
9596  {DBGFIELD("LDURSHXi")           1, false, false, 99, 1,  8, 1, 112, 1}, // #930
9597  {DBGFIELD("PRFMl")              1, false, false, 97, 1,  0, 1,  0, 0}, // #931
9598  {DBGFIELD("STURBi")             2, false, false, 162, 2,  0, 1, 113, 1}, // #932
9599  {DBGFIELD("STURBBi")            2, false, false, 253, 2,  0, 1, 113, 1}, // #933
9600  {DBGFIELD("STURDi")             2, false, false, 162, 2,  0, 1, 113, 1}, // #934
9601  {DBGFIELD("STURHi")             2, false, false, 162, 2,  0, 1, 113, 1}, // #935
9602  {DBGFIELD("STURHHi")            2, false, false, 253, 2,  0, 1, 113, 1}, // #936
9603  {DBGFIELD("STURWi")             2, false, false, 253, 2,  0, 1, 113, 1}, // #937
9604  {DBGFIELD("STTRBi")             2, false, false, 253, 2,  0, 1, 113, 1}, // #938
9605  {DBGFIELD("STTRHi")             2, false, false, 253, 2,  0, 1, 113, 1}, // #939
9606  {DBGFIELD("STTRWi")             2, false, false, 253, 2,  0, 1, 113, 1}, // #940
9607  {DBGFIELD("STRBui")             2, false, false, 162, 2,  0, 1, 113, 1}, // #941
9608  {DBGFIELD("STRDui")             2, false, false, 162, 2,  0, 1, 113, 1}, // #942
9609  {DBGFIELD("STRHui")             2, false, false, 162, 2,  0, 1, 113, 1}, // #943
9610  {DBGFIELD("STRXui")             2, false, false, 253, 2,  0, 1, 113, 1}, // #944
9611  {DBGFIELD("STRWui")             2, false, false, 253, 2,  0, 1, 113, 1}, // #945
9612  {DBGFIELD("STRBBroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #946
9613  {DBGFIELD("STRBBroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #947
9614  {DBGFIELD("STRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #948
9615  {DBGFIELD("STRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #949
9616  {DBGFIELD("STRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #950
9617  {DBGFIELD("STRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #951
9618  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
9619  {DBGFIELD("FADDv2f64_FSUBv2f64") 2, false, false, 33, 1, 137, 1,  0, 0}, // #953
9620  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #954
9621  {DBGFIELD("FADDv4f32_FSUBv4f32") 2, false, false, 33, 1, 137, 1,  0, 0}, // #955
9622  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
9623  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
9624  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 2, false, false, 33, 1, 137, 1,  0, 0}, // #958
9625  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
9626  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #960
9627  {DBGFIELD("FCMGEv1i16rz")       16383, false, false,  0, 0,  0, 0,  0, 0}, // #961
9628  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 12, 1,  0, 1,  0, 0}, // #962
9629  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 12, 1,  5, 1,  0, 0}, // #963
9630  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 12, 1,  5, 1,  0, 0}, // #964
9631  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
9632  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
9633  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
9634  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
9635  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
9636  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
9637  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #971
9638  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
9639  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
9640  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
9641  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
9642  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
9643  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
9644  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
9645  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
9646  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 3, false, false, 892, 3, 137, 1,  0, 0}, // #980
9647  {DBGFIELD("LDCLRX")             3, false, false, 892, 3, 137, 1,  0, 0}, // #981
9648  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 3, false, false, 892, 3, 137, 1,  0, 0}, // #982
9649  {DBGFIELD("LDCLRAX")            3, false, false, 892, 3, 137, 1,  0, 0}, // #983
9650  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 3, false, false, 892, 3, 137, 1,  0, 0}, // #984
9651  {DBGFIELD("LDCLRLX")            3, false, false, 892, 3, 137, 1,  0, 0}, // #985
9652  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
9653  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
9654  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
9655  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
9656  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
9657  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
9658  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
9659  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
9660  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
9661  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
9662  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
9663  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
9664  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
9665  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
9666  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
9667  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
9668  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
9669  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
9670  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
9671  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
9672  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
9673  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
9674  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
9675  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
9676  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
9677  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
9678  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
9679  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
9680  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1014
9681  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
9682  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
9683  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
9684  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
9685  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
9686  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
9687  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
9688  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
9689  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
9690  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
9691  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
9692  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
9693  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
9694  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
9695  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
9696  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
9697  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
9698  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
9699  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
9700  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
9701  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
9702  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
9703  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
9704  {DBGFIELD("WriteST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1038
9705  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
9706  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
9707  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
9708  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
9709  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
9710  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
9711  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
9712  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
9713  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
9714  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
9715  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
9716  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
9717  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
9718  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
9719  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
9720  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
9721  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
9722  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
9723  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
9724  {DBGFIELD("FalkorWr_1XYZ_1cyc") 1, false, false, 366, 2,  5, 1,  0, 0}, // #1058
9725  {DBGFIELD("FalkorWr_2XYZ_2cyc") 2, false, false, 826, 2,  1, 1,  0, 0}, // #1059
9726  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
9727  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
9728  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
9729  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
9730  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
9731  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
9732  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
9733  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
9734  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
9735  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
9736  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
9737  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
9738  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
9739  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 1, false, false, 99, 1, 137, 1, 112, 1}, // #1073
9740  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 2, false, false, 879, 3,  8, 1, 112, 1}, // #1074
9741  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
9742  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
9743  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
9744  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
9745  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
9746  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
9747  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
9748  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
9749  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
9750  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
9751  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 1, false, false, 99, 1,  8, 1, 112, 1}, // #1085
9752  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 2, false, false, 879, 3, 10, 1, 112, 1}, // #1086
9753  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
9754  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
9755  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 2, false, false, 162, 2,  0, 1, 113, 1}, // #1089
9756  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 3, false, false, 787, 4,  0, 1, 113, 1}, // #1090
9757  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
9758  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
9759  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
9760  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 2, false, false, 253, 2,  0, 1, 113, 1}, // #1094
9761  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 3, false, false, 898, 4,  0, 1, 113, 1}, // #1095
9762  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
9763  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 5, false, false, 791, 4,  0, 1, 113, 1}, // #1097
9764  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 6, false, false, 902, 4,  0, 1, 113, 1}, // #1098
9765  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
9766  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
9767  {DBGFIELD("FalkorWr_1none_0cyc") 1, false, false,  0, 0,  0, 1,  0, 0}, // #1101
9768  {DBGFIELD("FalkorWr_1XYZB_0cyc") 1, false, false, 367, 1,  0, 1,  0, 0}, // #1102
9769  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
9770  {DBGFIELD("FalkorWr_1XYZ_0cyc") 1, false, false, 366, 2,  0, 1,  0, 0}, // #1104
9771  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
9772  {DBGFIELD("FalkorWr_1GTOV_1cyc") 1, false, false, 10, 1,  5, 1,  0, 0}, // #1106
9773  {DBGFIELD("FalkorWr_1ST_3cyc")  1, false, false, 97, 1, 137, 1,  0, 0}, // #1107
9774  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 2, false, false, 899, 3,  8, 1,  0, 0}, // #1108
9775  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
9776}; // FalkorModelSchedClasses
9777
9778// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
9779static const llvm::MCSchedClassDesc KryoModelSchedClasses[] = {
9780  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
9781  {DBGFIELD("WriteV")             1, false, false,  6, 1, 21, 1,  0, 0}, // #1
9782  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false,  6, 1,  2, 1,  1, 2}, // #2
9783  {DBGFIELD("WriteI_ReadI")       1, false, false,  6, 1,  2, 1,  0, 1}, // #3
9784  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 2, false, false,  4, 1,  3, 1,  1, 2}, // #4
9785  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 2, false, false,  4, 1,  4, 1,  1, 2}, // #5
9786  {DBGFIELD("WriteAdr")           1, false, false,  6, 1, 21, 1,  0, 0}, // #6
9787  {DBGFIELD("WriteI")             1, false, false,  6, 1,  2, 1,  0, 0}, // #7
9788  {DBGFIELD("WriteIS_ReadI")      1, false, false,  6, 1, 149, 1,  0, 1}, // #8
9789  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  5, 1,  0, 0}, // #9
9790  {DBGFIELD("WriteBr")            1, false, false,  6, 1,  5, 1,  0, 0}, // #10
9791  {DBGFIELD("WriteBrReg")         1, false, false,  6, 1,  5, 1,  0, 0}, // #11
9792  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
9793  {DBGFIELD("WriteBarrier")       1, false, false,  0, 0,  5, 1,  0, 0}, // #13
9794  {DBGFIELD("WriteExtr_ReadExtrHi") 2, false, false, 906, 2,  1, 1,  0, 1}, // #14
9795  {DBGFIELD("WriteF")             2, false, false,  4, 1, 137, 1,  0, 0}, // #15
9796  {DBGFIELD("WriteFCmp")          1, false, false,  6, 1,  1, 1,  0, 0}, // #16
9797  {DBGFIELD("WriteFCvt")          1, false, false,  5, 2,  8, 1,  0, 0}, // #17
9798  {DBGFIELD("WriteFDiv")          2, false, false, 908, 4, 201, 1,  0, 0}, // #18
9799  {DBGFIELD("WriteFMul")          2, false, false,  3, 2, 21, 1,  0, 0}, // #19
9800  {DBGFIELD("WriteFCopy")         1, false, false,  6, 1, 21, 1,  0, 0}, // #20
9801  {DBGFIELD("WriteFImm")          1, false, false,  6, 1, 21, 1,  0, 0}, // #21
9802  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  5, 1,  0, 0}, // #22
9803  {DBGFIELD("WriteST")            1, false, false,  7, 1,  8, 1,  0, 0}, // #23
9804  {DBGFIELD("WriteLD")            1, false, false,  7, 1,  8, 1,  0, 0}, // #24
9805  {DBGFIELD("WriteLD_WriteLDHi")  2, false, false,  7, 1, 11, 2,  0, 0}, // #25
9806  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 912, 2, 98, 3,  0, 0}, // #26
9807  {DBGFIELD("WriteLD_WriteAdr")   2, false, false, 912, 2, 99, 2,  0, 0}, // #27
9808  {DBGFIELD("WriteLDIdx_ReadAdrBase") 1, false, false,  7, 1,  8, 1,  0, 1}, // #28
9809  {DBGFIELD("WriteLDAdr")         2, false, false, 912, 2, 117, 1,  0, 0}, // #29
9810  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false,  5, 2, 287, 1,  4, 3}, // #30
9811  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false,  5, 2, 17, 1,  4, 3}, // #31
9812  {DBGFIELD("WriteImm")           1, false, false,  6, 1, 18, 1,  0, 0}, // #32
9813  {DBGFIELD("WriteAdrAdr")        2, false, false,  4, 1, 201, 1,  0, 0}, // #33
9814  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 908, 4, 288, 1,  1, 2}, // #34
9815  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 908, 4, 289, 1,  1, 2}, // #35
9816  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false,  5, 2, 17, 1,  1, 2}, // #36
9817  {DBGFIELD("WriteSTP")           1, false, false,  7, 1,  8, 1,  0, 0}, // #37
9818  {DBGFIELD("WriteAdr_WriteSTP")  2, false, false, 912, 2, 131, 2,  0, 0}, // #38
9819  {DBGFIELD("WriteAdr_WriteST")   2, false, false, 912, 2, 131, 2,  0, 0}, // #39
9820  {DBGFIELD("WriteSTX")           2, false, false, 25, 1, 26, 1,  0, 0}, // #40
9821  {DBGFIELD("WriteSTIdx_ReadAdrBase") 1, false, false,  7, 1,  8, 1,  0, 1}, // #41
9822  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 914, 2, 290, 4,  0, 0}, // #42
9823  {DBGFIELD("COPY")               1, false, false,  6, 1,  2, 1,  0, 0}, // #43
9824  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false,  7, 1, 137, 1,  0, 0}, // #44
9825  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false,  7, 1, 137, 1,  0, 0}, // #45
9826  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false,  7, 1, 137, 1,  0, 0}, // #46
9827  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 25, 1, 137, 1,  0, 0}, // #47
9828  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 567, 1, 137, 1,  0, 0}, // #48
9829  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 5, false, false, 916, 2, 137, 1,  0, 0}, // #49
9830  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 918, 2, 294, 2,  0, 0}, // #50
9831  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 3, false, false, 918, 2, 294, 2,  0, 0}, // #51
9832  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 3, false, false, 918, 2, 294, 2,  0, 0}, // #52
9833  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 4, false, false, 920, 2, 294, 2,  0, 0}, // #53
9834  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 5, false, false, 922, 2, 294, 2,  0, 0}, // #54
9835  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 916, 2, 294, 2,  0, 0}, // #55
9836  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false,  7, 1, 137, 1,  0, 0}, // #56
9837  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 25, 1, 137, 1,  0, 0}, // #57
9838  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 3, false, false,  7, 1, 137, 1,  0, 0}, // #58
9839  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 25, 1, 137, 1,  0, 0}, // #59
9840  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 3, false, false, 918, 2, 294, 2,  0, 0}, // #60
9841  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 4, false, false, 920, 2, 294, 2,  0, 0}, // #61
9842  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 5, false, false, 918, 2, 294, 2,  0, 0}, // #62
9843  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 4, false, false, 920, 2, 294, 2,  0, 0}, // #63
9844  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 2, false, false, 25, 1, 137, 1,  0, 0}, // #64
9845  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 567, 1, 137, 1,  0, 0}, // #65
9846  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 10, false, false, 924, 3,  8, 1,  0, 0}, // #66
9847  {DBGFIELD("LD3Threev2d")        3, false, false, 567, 1, 137, 1,  0, 0}, // #67
9848  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 4, false, false, 920, 2, 294, 2,  0, 0}, // #68
9849  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 5, false, false, 922, 2, 294, 2,  0, 0}, // #69
9850  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 12, false, false, 927, 3, 99, 2,  0, 0}, // #70
9851  {DBGFIELD("LD3Threev2d_POST")   5, false, false, 922, 2, 294, 2,  0, 0}, // #71
9852  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 2, false, false, 25, 1, 137, 1,  0, 0}, // #72
9853  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 916, 1, 137, 1,  0, 0}, // #73
9854  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 12, false, false, 930, 3,  8, 1,  0, 0}, // #74
9855  {DBGFIELD("LD4Fourv2d")         4, false, false, 916, 1, 137, 1,  0, 0}, // #75
9856  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 4, false, false, 920, 2, 294, 2,  0, 0}, // #76
9857  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 6, false, false, 933, 2, 294, 2,  0, 0}, // #77
9858  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 14, false, false, 935, 3, 99, 2,  0, 0}, // #78
9859  {DBGFIELD("LD4Fourv2d_POST")    6, false, false, 933, 2, 294, 2,  0, 0}, // #79
9860  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 938, 3,  0, 1,  0, 0}, // #80
9861  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 2, false, false, 938, 3,  0, 1,  0, 0}, // #81
9862  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 5, false, false, 941, 3,  5, 1,  0, 0}, // #82
9863  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 6, false, false, 944, 3,  0, 1,  0, 0}, // #83
9864  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 8, false, false, 947, 3,  0, 1,  0, 0}, // #84
9865  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 4, false, false, 950, 4, 21, 2,  0, 0}, // #85
9866  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 4, false, false, 950, 4, 21, 2,  0, 0}, // #86
9867  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 5, false, false, 941, 3, 166, 2,  0, 0}, // #87
9868  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 8, false, false, 954, 3, 21, 2,  0, 0}, // #88
9869  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 10, false, false, 957, 3, 166, 2,  0, 0}, // #89
9870  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 938, 3,  0, 1,  0, 0}, // #90
9871  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 938, 3,  0, 1,  0, 0}, // #91
9872  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 2, false, false, 938, 3,  0, 1,  0, 0}, // #92
9873  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 4, false, false, 960, 3, 21, 2,  0, 0}, // #93
9874  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 960, 3, 21, 2,  0, 0}, // #94
9875  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 963, 3, 21, 2,  0, 0}, // #95
9876  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 4, false, false, 966, 3,  0, 1,  0, 0}, // #96
9877  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 12, false, false, 969, 4,  5, 1,  0, 0}, // #97
9878  {DBGFIELD("ST3Threev2d")        6, false, false, 944, 3,  0, 1,  0, 0}, // #98
9879  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 6, false, false, 963, 3, 21, 2,  0, 0}, // #99
9880  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 14, false, false, 973, 4, 21, 2,  0, 0}, // #100
9881  {DBGFIELD("ST3Threev2d_POST")   8, false, false, 954, 3, 21, 2,  0, 0}, // #101
9882  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 4, false, false, 966, 3,  0, 1,  0, 0}, // #102
9883  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 16, false, false, 977, 4,  5, 1,  0, 0}, // #103
9884  {DBGFIELD("ST4Fourv2d")         8, false, false, 947, 3,  0, 1,  0, 0}, // #104
9885  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 6, false, false, 963, 3, 21, 2,  0, 0}, // #105
9886  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 18, false, false, 981, 4, 21, 2,  0, 0}, // #106
9887  {DBGFIELD("ST4Fourv2d_POST")    10, false, false, 957, 3, 166, 2,  0, 0}, // #107
9888  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 2, false, false,  3, 2, 21, 1,  0, 0}, // #108
9889  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false,  6, 1, 21, 1,  0, 0}, // #109
9890  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
9891  {DBGFIELD("FDIVSrr")            3, false, false, 908, 4, 117, 1,  0, 0}, // #111
9892  {DBGFIELD("FDIVDrr")            3, false, false, 908, 4, 211, 1,  0, 0}, // #112
9893  {DBGFIELD("FDIVv2f32_FDIVv4f32") 4, false, false, 985, 4, 211, 1,  0, 0}, // #113
9894  {DBGFIELD("FDIVv2f64")          4, false, false, 985, 4, 211, 1,  0, 0}, // #114
9895  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 2, false, false,  3, 2, 10, 1,  0, 0}, // #115
9896  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 2, false, false,  3, 2, 21, 1,  0, 0}, // #116
9897  {DBGFIELD("BL")                 1, false, false,  6, 1,  5, 1,  0, 0}, // #117
9898  {DBGFIELD("BLR")                1, false, false,  6, 1,  5, 1,  0, 0}, // #118
9899  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 2, false, false,  4, 1,  1, 1,  1, 2}, // #119
9900  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false,  5, 2, 10, 1,  0, 0}, // #120
9901  {DBGFIELD("EXTRWrri")           2, false, false, 906, 2,  1, 1,  0, 0}, // #121
9902  {DBGFIELD("EXTRXrri")           2, false, false, 906, 2,  1, 1,  0, 0}, // #122
9903  {DBGFIELD("BFMWri_BFMXri")      1, false, false,  5, 2,  5, 1,  0, 0}, // #123
9904  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #124
9905  {DBGFIELD("AESDrr_AESErr")      4, false, false, 989, 2, 137, 1,  0, 0}, // #125
9906  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #126
9907  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false,  6, 1, 21, 1,  0, 0}, // #127
9908  {DBGFIELD("SHA1SU0rrr")         2, false, false,  3, 2,  1, 1,  0, 0}, // #128
9909  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  2, false, false,  3, 2,  1, 1,  0, 0}, // #129
9910  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 3, false, false, 991, 3,  1, 1,  0, 0}, // #130
9911  {DBGFIELD("SHA256SU0rr")        2, false, false,  3, 2,  1, 1,  0, 0}, // #131
9912  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 3, false, false, 991, 3,  8, 1,  0, 0}, // #132
9913  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false,  6, 1, 137, 1,  1, 2}, // #133
9914  {DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 994, 3,  8, 1,  0, 0}, // #134
9915  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 4, false, false, 950, 3, 99, 2,  0, 0}, // #135
9916  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 2, false, false,  7, 1, 137, 1,  0, 0}, // #136
9917  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 4, false, false, 918, 2, 294, 2,  0, 0}, // #137
9918  {DBGFIELD("LD1Rv1d")            2, false, false,  7, 1, 137, 1,  0, 0}, // #138
9919  {DBGFIELD("LD1Rv1d_POST")       4, false, false, 918, 2, 294, 2,  0, 0}, // #139
9920  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 2, false, false,  7, 1, 137, 1,  0, 0}, // #140
9921  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 4, false, false, 918, 2, 294, 2,  0, 0}, // #141
9922  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 3, false, false,  7, 1, 137, 1,  0, 0}, // #142
9923  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 5, false, false, 918, 2, 294, 2,  0, 0}, // #143
9924  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 5, false, false, 25, 1, 137, 1,  0, 0}, // #144
9925  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 7, false, false, 920, 2, 294, 2,  0, 0}, // #145
9926  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 6, false, false, 25, 1, 137, 1,  0, 0}, // #146
9927  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 8, false, false, 920, 2, 294, 2,  0, 0}, // #147
9928  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 997, 3,  8, 1,  0, 0}, // #148
9929  {DBGFIELD("LD2i16_POST_LD2i8_POST") 5, false, false, 1000, 3, 99, 2,  0, 0}, // #149
9930  {DBGFIELD("LD2i32")             3, false, false, 997, 3,  8, 1,  0, 0}, // #150
9931  {DBGFIELD("LD2i32_POST")        5, false, false, 1000, 3, 99, 2,  0, 0}, // #151
9932  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 3, false, false,  7, 1, 137, 1,  0, 0}, // #152
9933  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 5, false, false, 918, 2, 294, 2,  0, 0}, // #153
9934  {DBGFIELD("LD2Rv1d")            3, false, false,  7, 1, 137, 1,  0, 0}, // #154
9935  {DBGFIELD("LD2Rv1d_POST")       5, false, false, 918, 2, 294, 2,  0, 0}, // #155
9936  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 25, 1, 137, 1,  0, 0}, // #156
9937  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 4, false, false, 920, 2, 294, 2,  0, 0}, // #157
9938  {DBGFIELD("LD3i16_LD3i8")       4, false, false, 1003, 3,  8, 1,  0, 0}, // #158
9939  {DBGFIELD("LD3i16_POST_LD3i8_POST") 6, false, false, 1006, 3, 99, 2,  0, 0}, // #159
9940  {DBGFIELD("LD3i32")             4, false, false, 1003, 3,  8, 1,  0, 0}, // #160
9941  {DBGFIELD("LD3i32_POST")        6, false, false, 1006, 3, 99, 2,  0, 0}, // #161
9942  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 5, false, false, 25, 1, 137, 1,  0, 0}, // #162
9943  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 7, false, false, 920, 2, 294, 2,  0, 0}, // #163
9944  {DBGFIELD("LD3Rv1d")            5, false, false, 25, 1, 137, 1,  0, 0}, // #164
9945  {DBGFIELD("LD3Rv1d_POST")       7, false, false, 920, 2, 294, 2,  0, 0}, // #165
9946  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 567, 1, 137, 1,  0, 0}, // #166
9947  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 922, 2, 294, 2,  0, 0}, // #167
9948  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 8, false, false, 1009, 3,  8, 1,  0, 0}, // #168
9949  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 10, false, false, 1012, 3, 99, 2,  0, 0}, // #169
9950  {DBGFIELD("LD4i16_LD4i8")       5, false, false, 1015, 3,  8, 1,  0, 0}, // #170
9951  {DBGFIELD("LD4i16_POST_LD4i8_POST") 7, false, false, 1018, 3, 99, 2,  0, 0}, // #171
9952  {DBGFIELD("LD4i32")             5, false, false, 1015, 3,  8, 1,  0, 0}, // #172
9953  {DBGFIELD("LD4i32_POST")        7, false, false, 1018, 3, 99, 2,  0, 0}, // #173
9954  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 6, false, false, 25, 1, 137, 1,  0, 0}, // #174
9955  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 8, false, false, 920, 2, 294, 2,  0, 0}, // #175
9956  {DBGFIELD("LD4Rv1d")            6, false, false, 25, 1, 137, 1,  0, 0}, // #176
9957  {DBGFIELD("LD4Rv1d_POST")       8, false, false, 920, 2, 294, 2,  0, 0}, // #177
9958  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 916, 1, 137, 1,  0, 0}, // #178
9959  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 6, false, false, 933, 2, 294, 2,  0, 0}, // #179
9960  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 10, false, false, 1021, 3,  8, 1,  0, 0}, // #180
9961  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 12, false, false, 1024, 3, 99, 2,  0, 0}, // #181
9962  {DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 938, 3,  0, 1,  0, 0}, // #182
9963  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 4, false, false, 950, 4, 21, 2,  0, 0}, // #183
9964  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 2, false, false, 938, 3,  0, 1,  0, 0}, // #184
9965  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 4, false, false, 950, 4, 21, 2,  0, 0}, // #185
9966  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 938, 3,  0, 1,  0, 0}, // #186
9967  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 4, false, false, 950, 4, 21, 2,  0, 0}, // #187
9968  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 5, false, false, 941, 3,  5, 1,  0, 0}, // #188
9969  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 5, false, false, 941, 3, 166, 2,  0, 0}, // #189
9970  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 5, false, false, 941, 3,  5, 1,  0, 0}, // #190
9971  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 941, 3, 166, 2,  0, 0}, // #191
9972  {DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 938, 3,  0, 1,  0, 0}, // #192
9973  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 4, false, false, 960, 3, 21, 2,  0, 0}, // #193
9974  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 2, false, false, 938, 3,  0, 1,  0, 0}, // #194
9975  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 963, 3, 21, 2,  0, 0}, // #195
9976  {DBGFIELD("ST3i16_ST3i8")       4, false, false, 966, 3,  0, 1,  0, 0}, // #196
9977  {DBGFIELD("ST3i16_POST_ST3i8_POST") 6, false, false, 963, 3, 21, 2,  0, 0}, // #197
9978  {DBGFIELD("ST3i32")             4, false, false, 966, 3,  0, 1,  0, 0}, // #198
9979  {DBGFIELD("ST3i32_POST")        6, false, false, 963, 3, 21, 2,  0, 0}, // #199
9980  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 6, false, false, 1027, 4,  5, 1,  0, 0}, // #200
9981  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 8, false, false, 1031, 4, 21, 2,  0, 0}, // #201
9982  {DBGFIELD("ST4i16_ST4i8")       4, false, false, 966, 3,  0, 1,  0, 0}, // #202
9983  {DBGFIELD("ST4i16_POST_ST4i8_POST") 6, false, false, 963, 3, 21, 2,  0, 0}, // #203
9984  {DBGFIELD("ST4i32")             4, false, false, 966, 3,  0, 1,  0, 0}, // #204
9985  {DBGFIELD("ST4i32_POST")        6, false, false, 963, 3, 21, 2,  0, 0}, // #205
9986  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 8, false, false, 1035, 4,  5, 1,  0, 0}, // #206
9987  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 10, false, false, 1039, 4, 21, 2,  0, 0}, // #207
9988  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 3, false, false,  4, 1,  8, 1,  0, 0}, // #208
9989  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 4, false, false, 763, 1,  8, 1,  0, 0}, // #209
9990  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
9991  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 4, false, false, 763, 1, 137, 1,  0, 0}, // #211
9992  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 2, false, false,  5, 2,  8, 1,  0, 0}, // #212
9993  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 4, false, false, 769, 1, 10, 1,  0, 0}, // #213
9994  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 4, false, false, 951, 2, 21, 1,  0, 0}, // #214
9995  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 2, false, false,  6, 1, 137, 1,  0, 0}, // #215
9996  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 2, false, false,  5, 2,  8, 1,  0, 0}, // #216
9997  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 2, false, false,  5, 2,  8, 1,  0, 0}, // #217
9998  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 2, false, false,  6, 1,  1, 1,  0, 0}, // #218
9999  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false,  4, 1,  1, 1,  0, 0}, // #219
10000  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 2, false, false,  5, 2,  8, 1,  0, 0}, // #220
10001  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 2, false, false,  3, 2,  8, 1,  0, 0}, // #221
10002  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
10003  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 2, false, false,  3, 2,  8, 1,  0, 0}, // #223
10004  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
10005  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 2, false, false,  5, 2,  8, 1,  0, 0}, // #225
10006  {DBGFIELD("PMULLv16i8_PMULLv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #226
10007  {DBGFIELD("PMULLv1i64_PMULLv2i64") 2, false, false,  4, 1, 137, 1,  0, 0}, // #227
10008  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
10009  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 2, false, false,  3, 2, 137, 1,  0, 0}, // #229
10010  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
10011  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 2, false, false,  5, 2, 137, 1,  0, 0}, // #231
10012  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
10013  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 2, false, false,  6, 1, 137, 1,  0, 0}, // #233
10014  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
10015  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 2, false, false,  4, 1, 137, 1,  0, 0}, // #235
10016  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #236
10017  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #237
10018  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #238
10019  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 2, false, false,  6, 1, 137, 1,  0, 0}, // #239
10020  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #240
10021  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 2, false, false,  6, 1, 137, 1,  0, 0}, // #241
10022  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #242
10023  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false,  6, 1,  1, 1,  0, 0}, // #243
10024  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false,  4, 1,  1, 1,  0, 0}, // #244
10025  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 4, false, false, 1043, 2, 10, 1,  0, 0}, // #245
10026  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 2, false, false,  5, 2,  8, 1,  0, 0}, // #246
10027  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 2, false, false,  3, 2,  8, 1,  0, 0}, // #247
10028  {DBGFIELD("FDIVv2f32")          3, false, false, 908, 4, 117, 1,  0, 0}, // #248
10029  {DBGFIELD("FSQRTv2f32")         3, false, false, 908, 4, 201, 1,  0, 0}, // #249
10030  {DBGFIELD("FSQRTv4f32")         4, false, false, 985, 4, 226, 1,  0, 0}, // #250
10031  {DBGFIELD("FSQRTv2f64")         4, false, false, 985, 4, 296, 1,  0, 0}, // #251
10032  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #252
10033  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false,  4, 1,  1, 1,  0, 0}, // #253
10034  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 2, false, false,  6, 1,  1, 1,  0, 0}, // #254
10035  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 2, false, false,  4, 1,  1, 1,  0, 0}, // #255
10036  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false,  6, 1, 21, 1,  0, 0}, // #256
10037  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 2, false, false,  5, 2, 21, 1,  0, 0}, // #257
10038  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 2, false, false,  3, 2, 10, 1,  0, 0}, // #258
10039  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 2, false, false,  5, 2, 21, 1,  0, 0}, // #259
10040  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 2, false, false,  3, 2, 10, 1,  0, 0}, // #260
10041  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #261
10042  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 2, false, false,  4, 1,  1, 1,  0, 0}, // #262
10043  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false,  3, 2,  5, 1,  0, 0}, // #263
10044  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #264
10045  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false,  6, 1, 21, 1,  0, 0}, // #265
10046  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false,  3, 2,  5, 1,  0, 0}, // #266
10047  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
10048  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #268
10049  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 2, false, false,  6, 1, 137, 1,  0, 0}, // #269
10050  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 2, false, false,  6, 1, 137, 1,  0, 0}, // #270
10051  {DBGFIELD("FRSQRTEv1i64")       2, false, false,  6, 1, 137, 1,  0, 0}, // #271
10052  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #272
10053  {DBGFIELD("FRSQRTEv2f64")       2, false, false,  4, 1, 137, 1,  0, 0}, // #273
10054  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #274
10055  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 2, false, false,  5, 2, 21, 1,  0, 0}, // #275
10056  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 2, false, false,  5, 2, 10, 1,  0, 0}, // #276
10057  {DBGFIELD("FRSQRTS64")          2, false, false,  5, 2, 21, 1,  0, 0}, // #277
10058  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 2, false, false,  3, 2, 21, 1,  0, 0}, // #278
10059  {DBGFIELD("TBLv8i8One_TBXv8i8One") 3, false, false,  3, 2,  1, 1,  0, 0}, // #279
10060  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 5, false, false, 1016, 2, 137, 1,  0, 0}, // #280
10061  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 7, false, false, 925, 2,  8, 1,  0, 0}, // #281
10062  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 9, false, false, 931, 2,  8, 1,  0, 0}, // #282
10063  {DBGFIELD("TBLv16i8One_TBXv16i8One") 4, false, false, 1016, 2,  1, 1,  0, 0}, // #283
10064  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 8, false, false, 931, 2, 137, 1,  0, 0}, // #284
10065  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 13, false, false, 1045, 2, 10, 1,  0, 0}, // #285
10066  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 17, false, false, 1047, 2, 10, 1,  0, 0}, // #286
10067  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false,  6, 1,  5, 1,  0, 0}, // #287
10068  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false,  5, 2,  5, 1,  0, 0}, // #288
10069  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false,  3, 2,  5, 1,  0, 0}, // #289
10070  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 2, false, false,  6, 1, 137, 1,  0, 0}, // #290
10071  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 2, false, false,  5, 2, 21, 1,  0, 0}, // #291
10072  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  5, 2,  8, 1,  0, 0}, // #292
10073  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false,  5, 2,  8, 1,  0, 0}, // #293
10074  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false,  5, 2,  8, 1,  0, 0}, // #294
10075  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
10076  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #296
10077  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 2, false, false,  4, 1, 137, 1,  0, 0}, // #297
10078  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 2, false, false,  4, 1, 137, 1,  0, 0}, // #298
10079  {DBGFIELD("FSQRTDr")            3, false, false, 908, 4, 226, 1,  0, 0}, // #299
10080  {DBGFIELD("FSQRTSr")            3, false, false, 908, 4, 201, 1,  0, 0}, // #300
10081  {DBGFIELD("LDNPDi")             4, false, false,  7, 1, 297, 2,  0, 0}, // #301
10082  {DBGFIELD("LDNPQi")             3, false, false, 25, 1, 297, 2,  0, 0}, // #302
10083  {DBGFIELD("LDNPSi")             4, false, false,  7, 1, 297, 2,  0, 0}, // #303
10084  {DBGFIELD("LDPDi")              4, false, false,  7, 1, 297, 2,  0, 0}, // #304
10085  {DBGFIELD("LDPDpost")           6, false, false, 918, 2, 299, 3,  0, 0}, // #305
10086  {DBGFIELD("LDPDpre")            6, false, false, 918, 2, 299, 3,  0, 0}, // #306
10087  {DBGFIELD("LDPQi")              3, false, false, 25, 1, 297, 2,  0, 0}, // #307
10088  {DBGFIELD("LDPQpost")           5, false, false, 920, 2, 299, 3,  0, 0}, // #308
10089  {DBGFIELD("LDPQpre")            5, false, false, 920, 2, 299, 3,  0, 0}, // #309
10090  {DBGFIELD("LDPSWi")             2, false, false,  7, 1, 11, 2,  0, 0}, // #310
10091  {DBGFIELD("LDPSWpost")          4, false, false, 918, 2, 98, 3,  0, 0}, // #311
10092  {DBGFIELD("LDPSWpre")           4, false, false, 918, 2, 98, 3,  0, 0}, // #312
10093  {DBGFIELD("LDPSi")              4, false, false,  7, 1, 297, 2,  0, 0}, // #313
10094  {DBGFIELD("LDPSpost")           6, false, false, 918, 2, 299, 3,  0, 0}, // #314
10095  {DBGFIELD("LDPSpre")            6, false, false, 918, 2, 299, 3,  0, 0}, // #315
10096  {DBGFIELD("LDRBpost")           4, false, false, 918, 2, 294, 2,  0, 0}, // #316
10097  {DBGFIELD("LDRBpre")            4, false, false, 918, 2, 294, 2,  0, 0}, // #317
10098  {DBGFIELD("LDRBroW")            3, false, false, 994, 3,  8, 1,  0, 0}, // #318
10099  {DBGFIELD("LDRBroX")            3, false, false, 994, 3,  8, 1,  0, 0}, // #319
10100  {DBGFIELD("LDRBui")             2, false, false,  7, 1, 137, 1,  0, 0}, // #320
10101  {DBGFIELD("LDRDl")              2, false, false,  7, 1, 137, 1,  0, 0}, // #321
10102  {DBGFIELD("LDRDpost")           4, false, false, 918, 2, 294, 2,  0, 0}, // #322
10103  {DBGFIELD("LDRDpre")            4, false, false, 918, 2, 294, 2,  0, 0}, // #323
10104  {DBGFIELD("LDRDroW")            3, false, false, 994, 3,  8, 1,  0, 0}, // #324
10105  {DBGFIELD("LDRDroX")            3, false, false, 994, 3,  8, 1,  0, 0}, // #325
10106  {DBGFIELD("LDRDui")             2, false, false,  7, 1, 137, 1,  0, 0}, // #326
10107  {DBGFIELD("LDRHHroW")           2, false, false, 994, 3,  8, 1,  0, 0}, // #327
10108  {DBGFIELD("LDRHHroX")           2, false, false, 994, 3,  8, 1,  0, 0}, // #328
10109  {DBGFIELD("LDRHpost")           4, false, false, 918, 2, 294, 2,  0, 0}, // #329
10110  {DBGFIELD("LDRHpre")            4, false, false, 918, 2, 294, 2,  0, 0}, // #330
10111  {DBGFIELD("LDRHroW")            3, false, false, 994, 3,  8, 1,  0, 0}, // #331
10112  {DBGFIELD("LDRHroX")            3, false, false, 994, 3,  8, 1,  0, 0}, // #332
10113  {DBGFIELD("LDRHui")             2, false, false,  7, 1, 137, 1,  0, 0}, // #333
10114  {DBGFIELD("LDRQl")              1, false, false,  7, 1, 137, 1,  0, 0}, // #334
10115  {DBGFIELD("LDRQpost")           3, false, false, 918, 2, 294, 2,  0, 0}, // #335
10116  {DBGFIELD("LDRQpre")            3, false, false, 918, 2, 294, 2,  0, 0}, // #336
10117  {DBGFIELD("LDRQroW")            2, false, false, 994, 3,  8, 1,  0, 0}, // #337
10118  {DBGFIELD("LDRQroX")            2, false, false, 994, 3,  8, 1,  0, 0}, // #338
10119  {DBGFIELD("LDRQui")             1, false, false,  7, 1, 137, 1,  0, 0}, // #339
10120  {DBGFIELD("LDRSHWroW")          2, false, false, 994, 3, 10, 1,  0, 0}, // #340
10121  {DBGFIELD("LDRSHWroX")          2, false, false, 994, 3, 10, 1,  0, 0}, // #341
10122  {DBGFIELD("LDRSHXroW")          2, false, false, 994, 3, 10, 1,  0, 0}, // #342
10123  {DBGFIELD("LDRSHXroX")          2, false, false, 994, 3, 10, 1,  0, 0}, // #343
10124  {DBGFIELD("LDRSl")              2, false, false,  7, 1, 137, 1,  0, 0}, // #344
10125  {DBGFIELD("LDRSpost")           4, false, false, 918, 2, 294, 2,  0, 0}, // #345
10126  {DBGFIELD("LDRSpre")            4, false, false, 918, 2, 294, 2,  0, 0}, // #346
10127  {DBGFIELD("LDRSroW")            3, false, false, 994, 3,  8, 1,  0, 0}, // #347
10128  {DBGFIELD("LDRSroX")            3, false, false, 994, 3,  8, 1,  0, 0}, // #348
10129  {DBGFIELD("LDRSui")             2, false, false,  7, 1, 137, 1,  0, 0}, // #349
10130  {DBGFIELD("LDURBi")             2, false, false,  7, 1, 137, 1,  0, 0}, // #350
10131  {DBGFIELD("LDURDi")             2, false, false,  7, 1, 137, 1,  0, 0}, // #351
10132  {DBGFIELD("LDURHi")             2, false, false,  7, 1, 137, 1,  0, 0}, // #352
10133  {DBGFIELD("LDURQi")             1, false, false,  7, 1, 137, 1,  0, 0}, // #353
10134  {DBGFIELD("LDURSi")             2, false, false,  7, 1, 137, 1,  0, 0}, // #354
10135  {DBGFIELD("STNPDi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #355
10136  {DBGFIELD("STNPQi")             4, false, false, 966, 3,  0, 1,  0, 0}, // #356
10137  {DBGFIELD("STNPXi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #357
10138  {DBGFIELD("STPDi")              2, false, false, 938, 3,  0, 1,  0, 0}, // #358
10139  {DBGFIELD("STPDpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #359
10140  {DBGFIELD("STPDpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #360
10141  {DBGFIELD("STPQi")              4, false, false, 966, 3,  0, 1,  0, 0}, // #361
10142  {DBGFIELD("STPQpost")           6, false, false, 1049, 4, 21, 2,  0, 0}, // #362
10143  {DBGFIELD("STPQpre")            6, false, false, 1049, 4, 21, 2,  0, 0}, // #363
10144  {DBGFIELD("STPSpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #364
10145  {DBGFIELD("STPSpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #365
10146  {DBGFIELD("STPWpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #366
10147  {DBGFIELD("STPWpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #367
10148  {DBGFIELD("STPXi")              2, false, false, 938, 3,  0, 1,  0, 0}, // #368
10149  {DBGFIELD("STPXpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #369
10150  {DBGFIELD("STPXpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #370
10151  {DBGFIELD("STRBBpost")          4, false, false, 950, 4, 21, 2,  0, 0}, // #371
10152  {DBGFIELD("STRBBpre")           4, false, false, 950, 4, 21, 2,  0, 0}, // #372
10153  {DBGFIELD("STRBpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #373
10154  {DBGFIELD("STRBpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #374
10155  {DBGFIELD("STRBroW")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #375
10156  {DBGFIELD("STRBroX")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #376
10157  {DBGFIELD("STRDpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #377
10158  {DBGFIELD("STRDpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #378
10159  {DBGFIELD("STRHHpost")          4, false, false, 950, 4, 21, 2,  0, 0}, // #379
10160  {DBGFIELD("STRHHpre")           4, false, false, 950, 4, 21, 2,  0, 0}, // #380
10161  {DBGFIELD("STRHHroW")           3, false, false, 1053, 4,  5, 1,  0, 0}, // #381
10162  {DBGFIELD("STRHHroX")           3, false, false, 1053, 4,  5, 1,  0, 0}, // #382
10163  {DBGFIELD("STRHpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #383
10164  {DBGFIELD("STRHpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #384
10165  {DBGFIELD("STRHroW")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #385
10166  {DBGFIELD("STRHroX")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #386
10167  {DBGFIELD("STRQpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #387
10168  {DBGFIELD("STRQpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #388
10169  {DBGFIELD("STRQroW")            6, false, false, 1049, 4,  1, 1,  0, 0}, // #389
10170  {DBGFIELD("STRQroX")            6, false, false, 1049, 4,  1, 1,  0, 0}, // #390
10171  {DBGFIELD("STRQui")             2, false, false, 938, 3,  0, 1,  0, 0}, // #391
10172  {DBGFIELD("STRSpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #392
10173  {DBGFIELD("STRSpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #393
10174  {DBGFIELD("STRWpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #394
10175  {DBGFIELD("STRWpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #395
10176  {DBGFIELD("STRXpost")           4, false, false, 950, 4, 21, 2,  0, 0}, // #396
10177  {DBGFIELD("STRXpre")            4, false, false, 950, 4, 21, 2,  0, 0}, // #397
10178  {DBGFIELD("STURQi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #398
10179  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false,  6, 1,  5, 1,  0, 0}, // #399
10180  {DBGFIELD("ANDWri_ANDXri")      2, false, false,  4, 1,  5, 1,  0, 0}, // #400
10181  {DBGFIELD("ORRXrr_ADDXrr")      2, false, false,  4, 1,  5, 1,  0, 0}, // #401
10182  {DBGFIELD("ISB")                1, false, false,  0, 0,  0, 1,  0, 0}, // #402
10183  {DBGFIELD("ORRv16i8")           2, false, false,  4, 1,  5, 1,  0, 0}, // #403
10184  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 2, false, false,  6, 1,  5, 1,  0, 0}, // #404
10185  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false,  3, 2,  5, 1,  0, 0}, // #405
10186  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #406
10187  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 2, false, false,  4, 1, 137, 1,  0, 0}, // #407
10188  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #408
10189  {DBGFIELD("ADDVv16i8v")         3, false, false, 906, 2, 10, 1,  0, 0}, // #409
10190  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 2, false, false,  5, 2,  8, 1,  0, 0}, // #410
10191  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 3, false, false,  4, 1,  8, 1,  0, 0}, // #411
10192  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 2, false, false,  4, 1, 137, 1,  0, 0}, // #412
10193  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 2, false, false,  4, 1, 137, 1,  0, 0}, // #413
10194  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 906, 2, 21, 1,  0, 0}, // #414
10195  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #415
10196  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #416
10197  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #417
10198  {DBGFIELD("FADDPv2i32p")        2, false, false,  6, 1, 137, 1,  0, 0}, // #418
10199  {DBGFIELD("FADDPv2i64p")        2, false, false,  6, 1, 137, 1,  0, 0}, // #419
10200  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false,  6, 1, 21, 1,  0, 0}, // #420
10201  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 2, false, false,  6, 1,  1, 1,  0, 0}, // #421
10202  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 2, false, false,  4, 1,  1, 1,  0, 0}, // #422
10203  {DBGFIELD("FADDSrr_FSUBSrr")    2, false, false,  6, 1, 137, 1,  0, 0}, // #423
10204  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 2, false, false,  6, 1, 137, 1,  0, 0}, // #424
10205  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #425
10206  {DBGFIELD("FADDPv4f32")         2, false, false,  4, 1, 137, 1,  0, 0}, // #426
10207  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  6, 1, 21, 1,  0, 0}, // #427
10208  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false,  6, 1,  1, 1,  0, 0}, // #428
10209  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
10210  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false,  4, 1,  1, 1,  0, 0}, // #430
10211  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #431
10212  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #432
10213  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
10214  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false,  4, 1,  1, 1,  0, 0}, // #434
10215  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 2, false, false,  6, 1,  1, 1,  0, 0}, // #435
10216  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 2, false, false,  4, 1,  1, 1,  0, 0}, // #436
10217  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 2, false, false,  4, 1, 137, 1,  0, 0}, // #437
10218  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 2, false, false,  3, 2, 137, 1,  0, 0}, // #438
10219  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #439
10220  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #440
10221  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #441
10222  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #442
10223  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #443
10224  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #444
10225  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false,  3, 2,  8, 1,  0, 0}, // #445
10226  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 2, false, false,  5, 2,  8, 1,  0, 0}, // #446
10227  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #447
10228  {DBGFIELD("FMULDrr_FNMULDrr")   2, false, false,  5, 2, 21, 1,  0, 0}, // #448
10229  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 2, false, false,  3, 2, 21, 1,  0, 0}, // #449
10230  {DBGFIELD("FMULX64")            2, false, false,  5, 2, 21, 1,  0, 0}, // #450
10231  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
10232  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 2, false, false,  5, 2, 10, 1,  0, 0}, // #452
10233  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 2, false, false,  5, 2, 21, 1,  0, 0}, // #453
10234  {DBGFIELD("FMLAv4f32")          2, false, false,  3, 2, 10, 1,  0, 0}, // #454
10235  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 2, false, false,  3, 2, 21, 1,  0, 0}, // #455
10236  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #456
10237  {DBGFIELD("URSQRTEv2i32")       2, false, false,  6, 1, 137, 1,  0, 0}, // #457
10238  {DBGFIELD("URSQRTEv4i32")       2, false, false,  4, 1, 137, 1,  0, 0}, // #458
10239  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #459
10240  {DBGFIELD("FRECPSv2f32")        2, false, false,  5, 2, 10, 1,  0, 0}, // #460
10241  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #461
10242  {DBGFIELD("FRSQRTSv2f32")       2, false, false,  5, 2, 10, 1,  0, 0}, // #462
10243  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #463
10244  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 2, false, false,  5, 2,  8, 1,  0, 0}, // #464
10245  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 2, false, false,  5, 2,  8, 1,  0, 0}, // #465
10246  {DBGFIELD("AESIMCrr_AESMCrr")   2, false, false,  3, 2,  1, 1,  0, 0}, // #466
10247  {DBGFIELD("SHA256SU1rrr")       4, false, false, 989, 2, 137, 1,  0, 0}, // #467
10248  {DBGFIELD("FABSv2f32_FNEGv2f32") 2, false, false,  6, 1,  5, 1,  0, 0}, // #468
10249  {DBGFIELD("FACGEv2f32_FACGTv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #469
10250  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #470
10251  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #471
10252  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 2, false, false,  6, 1, 137, 1,  0, 0}, // #472
10253  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 2, false, false,  6, 1, 137, 1,  0, 0}, // #473
10254  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 2, false, false,  5, 2,  8, 1,  0, 0}, // #474
10255  {DBGFIELD("FCVTXNv1i64")        2, false, false,  5, 2,  8, 1,  0, 0}, // #475
10256  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 2, false, false,  5, 2, 10, 1,  0, 0}, // #476
10257  {DBGFIELD("FMULX32")            2, false, false,  5, 2, 10, 1,  0, 0}, // #477
10258  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 2, false, false,  4, 1,  5, 1,  0, 0}, // #478
10259  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false,  4, 1,  1, 1,  0, 0}, // #479
10260  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false,  4, 1,  1, 1,  0, 0}, // #480
10261  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #481
10262  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #482
10263  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #483
10264  {DBGFIELD("FMULXv2f64_FMULv2f64") 2, false, false,  3, 2, 21, 1,  0, 0}, // #484
10265  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 4, false, false, 1043, 2, 10, 1,  0, 0}, // #485
10266  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 2, false, false,  5, 2, 10, 1,  0, 0}, // #486
10267  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 2, false, false,  5, 2, 10, 1,  0, 0}, // #487
10268  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 2, false, false,  6, 1,  5, 1,  0, 0}, // #488
10269  {DBGFIELD("ADDPv2i64p")         2, false, false,  6, 1,  5, 1,  0, 0}, // #489
10270  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 2, false, false,  6, 1,  5, 1,  0, 0}, // #490
10271  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 2, false, false,  6, 1,  5, 1,  0, 0}, // #491
10272  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 2, false, false,  6, 1,  5, 1,  0, 0}, // #492
10273  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 2, false, false,  6, 1,  5, 1,  0, 0}, // #493
10274  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 2, false, false,  6, 1,  1, 1,  0, 0}, // #494
10275  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #495
10276  {DBGFIELD("SSHLv1i64_USHLv1i64") 2, false, false,  6, 1,  1, 1,  0, 0}, // #496
10277  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 2, false, false,  6, 1,  1, 1,  0, 0}, // #497
10278  {DBGFIELD("SSHRd_USHRd")        2, false, false,  6, 1,  1, 1,  0, 0}, // #498
10279  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #499
10280  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #500
10281  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #501
10282  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #502
10283  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 2, false, false,  6, 1,  1, 1,  0, 0}, // #503
10284  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #504
10285  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 2, false, false,  6, 1,  1, 1,  0, 0}, // #505
10286  {DBGFIELD("SHLd")               2, false, false,  6, 1,  1, 1,  0, 0}, // #506
10287  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #507
10288  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 2, false, false,  5, 2, 137, 1,  0, 0}, // #508
10289  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #509
10290  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 2, false, false,  5, 2, 137, 1,  0, 0}, // #510
10291  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 2, false, false,  6, 1, 137, 1,  0, 0}, // #511
10292  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #512
10293  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 2, false, false,  6, 1, 137, 1,  0, 0}, // #513
10294  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 2, false, false,  6, 1, 137, 1,  0, 0}, // #514
10295  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 2, false, false,  6, 1, 137, 1,  0, 0}, // #515
10296  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #516
10297  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #517
10298  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 2, false, false,  6, 1, 137, 1,  0, 0}, // #518
10299  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #519
10300  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #520
10301  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #521
10302  {DBGFIELD("ADDVv4i16v")         2, false, false,  6, 1, 137, 1,  0, 0}, // #522
10303  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 2, false, false,  5, 2, 137, 1,  0, 0}, // #523
10304  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #524
10305  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #525
10306  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 2, false, false,  5, 2,  8, 1,  0, 0}, // #526
10307  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false,  6, 1, 21, 1,  0, 0}, // #527
10308  {DBGFIELD("ADDVv4i32v")         3, false, false,  4, 1, 137, 1,  0, 0}, // #528
10309  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 906, 2,  8, 1,  0, 0}, // #529
10310  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 2, false, false,  6, 1, 137, 1,  0, 0}, // #530
10311  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #531
10312  {DBGFIELD("ADDPv2i64")          2, false, false,  4, 1,  1, 1,  0, 0}, // #532
10313  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 2, false, false,  4, 1,  5, 1,  0, 0}, // #533
10314  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #534
10315  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #535
10316  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #536
10317  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #537
10318  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 2, false, false,  4, 1,  1, 1,  0, 0}, // #538
10319  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #539
10320  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #540
10321  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #541
10322  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #542
10323  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 2, false, false,  4, 1,  1, 1,  0, 0}, // #543
10324  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #544
10325  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #545
10326  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #546
10327  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 2, false, false,  4, 1, 137, 1,  0, 0}, // #547
10328  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #548
10329  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false,  3, 2, 137, 1,  0, 0}, // #549
10330  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #550
10331  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false,  6, 1, 21, 1,  0, 0}, // #551
10332  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 4, false, false, 769, 1, 137, 1,  0, 0}, // #552
10333  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 4, false, false, 763, 1,  1, 1,  0, 0}, // #553
10334  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 2, false, false,  5, 2,  8, 1,  0, 0}, // #554
10335  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #555
10336  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false,  6, 1,  0, 1,  0, 1}, // #556
10337  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false,  6, 1,  0, 1,  1, 2}, // #557
10338  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false,  6, 1,  5, 1,  1, 2}, // #558
10339  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false,  6, 1,  5, 1,  0, 1}, // #559
10340  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 2, false, false,  4, 1,  1, 1,  1, 2}, // #560
10341  {DBGFIELD("ADDXrr")             2, false, false,  4, 1,  1, 1,  1, 2}, // #561
10342  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false,  6, 1,  5, 1,  1, 2}, // #562
10343  {DBGFIELD("ANDSWri_ANDSXri")    2, false, false,  4, 1,  5, 1,  0, 0}, // #563
10344  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 2, false, false,  4, 1,  5, 1,  0, 0}, // #564
10345  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 2, false, false,  4, 1,  5, 1,  0, 0}, // #565
10346  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 2, false, false,  4, 1,  5, 1,  0, 0}, // #566
10347  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 2, false, false,  4, 1,  5, 1,  0, 0}, // #567
10348  {DBGFIELD("EONWrr_EONXrr")      2, false, false,  4, 1,  5, 1,  0, 0}, // #568
10349  {DBGFIELD("EONWrs_EONXrs")      2, false, false,  4, 1,  5, 1,  0, 0}, // #569
10350  {DBGFIELD("EORWri_EORXri")      2, false, false,  4, 1,  5, 1,  0, 0}, // #570
10351  {DBGFIELD("EORWrr_EORXrr")      2, false, false,  4, 1,  5, 1,  0, 0}, // #571
10352  {DBGFIELD("EORWrs_EORXrs")      2, false, false,  4, 1,  5, 1,  0, 0}, // #572
10353  {DBGFIELD("ORNWrr_ORNXrr")      2, false, false,  4, 1,  5, 1,  0, 0}, // #573
10354  {DBGFIELD("ORNWrs_ORNXrs")      2, false, false,  4, 1,  5, 1,  0, 0}, // #574
10355  {DBGFIELD("ORRWri_ORRXri")      2, false, false,  4, 1,  5, 1,  0, 0}, // #575
10356  {DBGFIELD("ORRWrr")             2, false, false,  6, 1,  5, 1,  0, 0}, // #576
10357  {DBGFIELD("ORRWrs_ORRXrs")      2, false, false,  4, 1,  5, 1,  0, 0}, // #577
10358  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false,  6, 1,  5, 1,  1, 2}, // #578
10359  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false,  6, 1,  5, 1,  0, 1}, // #579
10360  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 2, false, false,  6, 1,  5, 1,  1, 2}, // #580
10361  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 2, false, false,  4, 1,  1, 1,  1, 2}, // #581
10362  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 2, false, false,  4, 1,  1, 1,  1, 2}, // #582
10363  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 2, false, false,  4, 1,  1, 1,  1, 2}, // #583
10364  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false,  5, 2,  5, 1,  0, 0}, // #584
10365  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 2, false, false,  5, 2,  5, 1,  0, 0}, // #585
10366  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false,  3, 2,  5, 1,  0, 0}, // #586
10367  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 2, false, false,  3, 2,  5, 1,  0, 0}, // #587
10368  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false,  5, 2,  5, 1,  0, 0}, // #588
10369  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 2, false, false,  5, 2,  5, 1,  0, 0}, // #589
10370  {DBGFIELD("EXTv8i8")            2, false, false,  5, 2,  5, 1,  0, 0}, // #590
10371  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 2, false, false,  6, 1,  5, 1,  0, 0}, // #591
10372  {DBGFIELD("TBLv8i8One")         2, false, false,  5, 2,  5, 1,  0, 0}, // #592
10373  {DBGFIELD("NOTv8i8")            2, false, false,  6, 1,  5, 1,  0, 0}, // #593
10374  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 2, false, false,  4, 1,  5, 1,  0, 0}, // #594
10375  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 2, false, false,  6, 1,  5, 1,  0, 0}, // #595
10376  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #596
10377  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 2, false, false,  6, 1, 137, 1,  0, 0}, // #597
10378  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 2, false, false,  6, 1, 137, 1,  0, 0}, // #598
10379  {DBGFIELD("FRECPS32")           2, false, false,  5, 2, 10, 1,  0, 0}, // #599
10380  {DBGFIELD("EXTv16i8")           2, false, false,  3, 2,  5, 1,  0, 0}, // #600
10381  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #601
10382  {DBGFIELD("NOTv16i8")           2, false, false,  4, 1,  5, 1,  0, 0}, // #602
10383  {DBGFIELD("TBLv16i8One")        2, false, false,  3, 2,  5, 1,  0, 0}, // #603
10384  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #604
10385  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #605
10386  {DBGFIELD("TBLv8i8Two")         4, false, false, 1004, 2,  1, 1,  0, 0}, // #606
10387  {DBGFIELD("FRECPSv4f32")        2, false, false,  3, 2, 10, 1,  0, 0}, // #607
10388  {DBGFIELD("TBLv16i8Two")        6, false, false, 925, 2,  1, 1,  0, 0}, // #608
10389  {DBGFIELD("TBLv8i8Three")       6, false, false, 1057, 2, 137, 1,  0, 0}, // #609
10390  {DBGFIELD("TBLv16i8Three")      11, false, false, 1059, 2,  8, 1,  0, 0}, // #610
10391  {DBGFIELD("TBLv8i8Four")        8, false, false, 1061, 2, 137, 1,  0, 0}, // #611
10392  {DBGFIELD("TBLv16i8Four")       15, false, false, 1063, 2,  8, 1,  0, 0}, // #612
10393  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 2, false, false, 938, 3,  0, 1,  0, 0}, // #613
10394  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 3, false, false, 1053, 4,  5, 1,  0, 0}, // #614
10395  {DBGFIELD("STPSi")              2, false, false, 938, 3,  0, 1,  0, 0}, // #615
10396  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 2, false, false, 938, 3,  0, 1,  0, 0}, // #616
10397  {DBGFIELD("STNPSi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #617
10398  {DBGFIELD("B")                  1, false, false,  6, 1,  5, 1,  0, 0}, // #618
10399  {DBGFIELD("TCRETURNdi")         1, false, false,  6, 1,  5, 1,  0, 0}, // #619
10400  {DBGFIELD("BR_RET")             1, false, false,  6, 1,  5, 1,  0, 0}, // #620
10401  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false,  6, 1,  5, 1,  0, 0}, // #621
10402  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false,  6, 1,  5, 1,  0, 0}, // #622
10403  {DBGFIELD("Bcc")                1, false, false,  6, 1,  5, 1,  0, 0}, // #623
10404  {DBGFIELD("SHA1Hrr")            2, false, false,  6, 1,  5, 1,  0, 0}, // #624
10405  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false,  6, 1,  0, 1,  0, 0}, // #625
10406  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false,  6, 1,  0, 1,  0, 0}, // #626
10407  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  5, 2,  8, 1,  0, 0}, // #627
10408  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 2, false, false,  6, 1,  5, 1,  0, 0}, // #628
10409  {DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false,  6, 1,  5, 1,  0, 0}, // #629
10410  {DBGFIELD("FCVTSHr_FCVTDHr")    2, false, false,  5, 2,  8, 1,  0, 0}, // #630
10411  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 2, false, false,  6, 1,  1, 1,  0, 0}, // #631
10412  {DBGFIELD("FCVTHSr_FCVTHDr")    2, false, false,  5, 2,  8, 1,  0, 0}, // #632
10413  {DBGFIELD("FCVTSDr")            2, false, false,  5, 2,  8, 1,  0, 0}, // #633
10414  {DBGFIELD("FMULSrr_FNMULSrr")   2, false, false,  5, 2, 10, 1,  0, 0}, // #634
10415  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 2, false, false,  6, 1,  5, 1,  0, 0}, // #635
10416  {DBGFIELD("FMOVDi_FMOVSi")      2, false, false,  6, 1,  5, 1,  0, 0}, // #636
10417  {DBGFIELD("FMOVDr_FMOVSr")      2, false, false,  6, 1,  5, 1,  0, 0}, // #637
10418  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 2, false, false,  6, 1,  5, 1,  0, 0}, // #638
10419  {DBGFIELD("FMOVD0_FMOVS0")      2, false, false,  4, 1, 137, 1,  0, 0}, // #639
10420  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false,  5, 2,  8, 1,  0, 0}, // #640
10421  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 2, false, false,  3, 2,  8, 1,  0, 0}, // #641
10422  {DBGFIELD("PRFMui_PRFMl")       1, false, false,  7, 1,  0, 1,  0, 0}, // #642
10423  {DBGFIELD("PRFUMi")             1, false, false,  7, 1,  0, 1,  0, 0}, // #643
10424  {DBGFIELD("LDNPWi_LDNPXi")      2, false, false,  7, 1, 297, 2,  0, 0}, // #644
10425  {DBGFIELD("LDPWi_LDPXi")        2, false, false,  7, 1, 297, 2,  0, 0}, // #645
10426  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 4, false, false, 918, 2, 299, 3,  0, 0}, // #646
10427  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false,  7, 1, 137, 1,  0, 0}, // #647
10428  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 3, false, false, 918, 2, 294, 2,  0, 0}, // #648
10429  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 2, false, false, 994, 3,  8, 1,  0, 0}, // #649
10430  {DBGFIELD("LDRWl_LDRXl")        1, false, false,  7, 1, 137, 1,  0, 0}, // #650
10431  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false,  7, 1, 137, 1,  0, 0}, // #651
10432  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false,  7, 1, 137, 1,  0, 0}, // #652
10433  {DBGFIELD("PRFMroW_PRFMroX")    2, false, false, 994, 3,  8, 1,  0, 0}, // #653
10434  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false,  7, 1,  8, 1,  0, 0}, // #654
10435  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 3, false, false, 918, 2, 99, 2,  0, 0}, // #655
10436  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 2, false, false, 994, 3, 10, 1,  0, 0}, // #656
10437  {DBGFIELD("LDRSWl")             1, false, false,  7, 1,  8, 1,  0, 0}, // #657
10438  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false,  7, 1,  8, 1,  0, 0}, // #658
10439  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false,  7, 1,  8, 1,  0, 0}, // #659
10440  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false,  5, 2,  5, 1,  0, 0}, // #660
10441  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false,  5, 2,  5, 1,  0, 0}, // #661
10442  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false,  5, 2,  8, 1,  0, 0}, // #662
10443  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false,  5, 2,  8, 1,  0, 0}, // #663
10444  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false,  5, 2, 10, 1,  0, 0}, // #664
10445  {DBGFIELD("SDIVWr_UDIVWr")      2, false, false, 908, 4,  5, 1,  0, 0}, // #665
10446  {DBGFIELD("SDIVXr_UDIVXr")      2, false, false, 908, 4,  5, 1,  0, 0}, // #666
10447  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #667
10448  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false,  5, 2,  5, 1,  0, 0}, // #668
10449  {DBGFIELD("ADR_ADRP")           1, false, false,  6, 1,  5, 1,  0, 0}, // #669
10450  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  6, 1,  5, 1,  0, 0}, // #670
10451  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false,  6, 1, 18, 1,  0, 0}, // #671
10452  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false,  4, 1, 201, 1,  0, 0}, // #672
10453  {DBGFIELD("LOADgot")            2, false, false, 912, 2, 117, 1,  0, 0}, // #673
10454  {DBGFIELD("CLREX_DMB_DSB")      1, false, false,  7, 1,  0, 1,  0, 0}, // #674
10455  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  0, 1,  0, 0}, // #675
10456  {DBGFIELD("HINT")               1, false, false,  0, 0,  0, 1,  0, 0}, // #676
10457  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  7, 1,  0, 1,  0, 0}, // #677
10458  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  5, 2,  0, 1,  0, 0}, // #678
10459  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false,  7, 1, 137, 1,  0, 0}, // #679
10460  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false,  7, 1, 297, 2,  0, 0}, // #680
10461  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  5, 1,  0, 0}, // #681
10462  {DBGFIELD("DRPS")               2, false, false, 994, 3, 137, 1,  0, 0}, // #682
10463  {DBGFIELD("MSR")                1, false, false,  6, 1,  0, 1,  0, 0}, // #683
10464  {DBGFIELD("STNPWi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #684
10465  {DBGFIELD("ERET")               3, false, false, 1065, 3, 137, 1,  0, 0}, // #685
10466  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
10467  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 3, false, false, 1068, 3,  0, 1,  0, 0}, // #687
10468  {DBGFIELD("STXPW_STXPX")        2, false, false, 938, 3, 137, 1,  0, 0}, // #688
10469  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 938, 3, 137, 1,  0, 0}, // #689
10470  {DBGFIELD("STLXPW_STLXPX")      3, false, false, 1068, 3, 137, 1,  0, 0}, // #690
10471  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 3, false, false, 1068, 3, 137, 1,  0, 0}, // #691
10472  {DBGFIELD("STPWi")              2, false, false, 938, 3,  0, 1,  0, 0}, // #692
10473  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 2, false, false, 938, 3,  0, 1,  0, 0}, // #693
10474  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 3, false, false, 1053, 4,  5, 1,  0, 0}, // #694
10475  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 2, false, false, 938, 3,  0, 1,  0, 0}, // #695
10476  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 2, false, false, 938, 3,  0, 1,  0, 0}, // #696
10477  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #697
10478  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 2, false, false,  5, 2,  8, 1,  0, 0}, // #698
10479  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #699
10480  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 2, false, false,  3, 2,  8, 1,  0, 0}, // #700
10481  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #701
10482  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #702
10483  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 2, false, false, 906, 2, 21, 1,  0, 0}, // #703
10484  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 2, false, false,  6, 1, 137, 1,  0, 0}, // #704
10485  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #705
10486  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 2, false, false,  6, 1, 137, 1,  0, 0}, // #706
10487  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 1, false, false,  6, 1,  5, 1,  1, 2}, // #707
10488  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 1, false, false,  6, 1,  5, 1,  0, 0}, // #708
10489  {DBGFIELD("ADDv1i64")           2, false, false,  6, 1,  5, 1,  0, 0}, // #709
10490  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #710
10491  {DBGFIELD("ANDSWri")            2, false, false,  6, 1,  5, 1,  0, 0}, // #711
10492  {DBGFIELD("ANDSWrr_ANDWrr")     2, false, false,  6, 1,  5, 1,  0, 0}, // #712
10493  {DBGFIELD("ANDSWrs_ANDWrs")     2, false, false,  6, 1,  5, 1,  0, 0}, // #713
10494  {DBGFIELD("ANDWri")             2, false, false,  6, 1,  5, 1,  0, 0}, // #714
10495  {DBGFIELD("BICSWrr_BICWrr")     2, false, false,  6, 1,  5, 1,  0, 0}, // #715
10496  {DBGFIELD("BICSWrs_BICWrs")     2, false, false,  6, 1,  5, 1,  0, 0}, // #716
10497  {DBGFIELD("EONWrr")             2, false, false,  6, 1,  5, 1,  0, 0}, // #717
10498  {DBGFIELD("EONWrs")             2, false, false,  6, 1,  5, 1,  0, 0}, // #718
10499  {DBGFIELD("EORWri")             2, false, false,  6, 1,  5, 1,  0, 0}, // #719
10500  {DBGFIELD("EORWrr")             2, false, false,  6, 1,  5, 1,  0, 0}, // #720
10501  {DBGFIELD("EORWrs")             2, false, false,  6, 1,  5, 1,  0, 0}, // #721
10502  {DBGFIELD("ORNWrr")             2, false, false,  6, 1,  5, 1,  0, 0}, // #722
10503  {DBGFIELD("ORNWrs")             2, false, false,  6, 1,  5, 1,  0, 0}, // #723
10504  {DBGFIELD("ORRWrs")             2, false, false,  6, 1,  5, 1,  0, 0}, // #724
10505  {DBGFIELD("ORRWri")             2, false, false,  6, 1,  5, 1,  0, 0}, // #725
10506  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false,  6, 1,  1, 1,  0, 1}, // #726
10507  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #727
10508  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #728
10509  {DBGFIELD("CSELWr_CSELXr")      1, false, false,  6, 1,  5, 1,  1, 2}, // #729
10510  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false,  5, 2,  5, 1,  1, 2}, // #730
10511  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 2, false, false,  6, 1,  1, 1,  0, 0}, // #731
10512  {DBGFIELD("FCMGEv2f32")         2, false, false,  6, 1,  1, 1,  0, 0}, // #732
10513  {DBGFIELD("FABDv2f32")          2, false, false,  6, 1, 137, 1,  0, 0}, // #733
10514  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 2, false, false,  6, 1,  1, 1,  0, 0}, // #734
10515  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 2, false, false,  6, 1,  1, 1,  0, 0}, // #735
10516  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false,  5, 2,  8, 1,  0, 0}, // #736
10517  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 2, false, false,  5, 2,  8, 1,  0, 0}, // #737
10518  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #738
10519  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 2, false, false,  5, 2, 10, 1,  0, 0}, // #739
10520  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 2, false, false,  5, 2, 10, 1,  0, 0}, // #740
10521  {DBGFIELD("FMLSv4f32")          2, false, false,  3, 2, 10, 1,  0, 0}, // #741
10522  {DBGFIELD("FMLAv2f64_FMLSv2f64") 2, false, false,  3, 2, 21, 1,  0, 0}, // #742
10523  {DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #743
10524  {DBGFIELD("FMOVXDHighr")        1, false, false,  6, 1,  5, 1,  0, 0}, // #744
10525  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 2, false, false,  5, 2, 10, 1,  0, 0}, // #745
10526  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 2, false, false,  6, 1, 137, 1,  0, 0}, // #746
10527  {DBGFIELD("FRSQRTEv1i32")       2, false, false,  6, 1, 137, 1,  0, 0}, // #747
10528  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 2, false, false, 25, 1, 137, 1,  0, 0}, // #748
10529  {DBGFIELD("LDAXPW_LDAXPX")      3, false, false, 25, 1, 297, 2,  0, 0}, // #749
10530  {DBGFIELD("LSLVWr_LSLVXr")      2, false, false,  4, 1,  1, 1,  0, 0}, // #750
10531  {DBGFIELD("MRS")                1, false, false,  6, 1,  1, 1,  0, 0}, // #751
10532  {DBGFIELD("MSRpstateImm4")      1, false, false,  5, 2,  0, 1,  0, 0}, // #752
10533  {DBGFIELD("RBITWr_RBITXr")      1, false, false,  6, 1,  1, 1,  0, 0}, // #753
10534  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 2, false, false,  6, 1,  5, 1,  0, 0}, // #754
10535  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 2, false, false,  6, 1, 137, 1,  0, 0}, // #755
10536  {DBGFIELD("TRN1v2i64_TRN2v2i64") 2, false, false,  4, 1,  5, 1,  0, 0}, // #756
10537  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #757
10538  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 2, false, false,  3, 2,  5, 1,  0, 0}, // #758
10539  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 2, false, false, 906, 2,  5, 1,  0, 0}, // #759
10540  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 2, false, false,  3, 2,  5, 1,  0, 0}, // #760
10541  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 2, false, false,  5, 2,  5, 1,  0, 0}, // #761
10542  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false,  6, 1,  5, 1,  0, 0}, // #762
10543  {DBGFIELD("ADDWrs_ADDXrs")      2, false, false,  4, 1,  1, 1,  1, 2}, // #763
10544  {DBGFIELD("ANDWrs")             2, false, false,  6, 1,  5, 1,  0, 0}, // #764
10545  {DBGFIELD("ANDXrs")             2, false, false,  4, 1,  5, 1,  0, 0}, // #765
10546  {DBGFIELD("BICWrs")             2, false, false,  6, 1,  5, 1,  0, 0}, // #766
10547  {DBGFIELD("BICXrs")             2, false, false,  4, 1,  5, 1,  0, 0}, // #767
10548  {DBGFIELD("SUBWrs_SUBXrs")      2, false, false,  4, 1,  1, 1,  1, 2}, // #768
10549  {DBGFIELD("ADDWri_ADDXri")      1, false, false,  6, 1,  5, 1,  0, 1}, // #769
10550  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 2, false, false, 994, 3,  8, 1,  0, 0}, // #770
10551  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 2, false, false, 994, 3, 10, 1,  0, 0}, // #771
10552  {DBGFIELD("PRFMroW")            2, false, false, 994, 3,  8, 1,  0, 0}, // #772
10553  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 3, false, false, 1053, 4,  5, 1,  0, 0}, // #773
10554  {DBGFIELD("FABSDr_FABSSr")      2, false, false,  6, 1,  5, 1,  0, 0}, // #774
10555  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false,  5, 2,  8, 1,  0, 0}, // #775
10556  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false,  6, 1, 21, 1,  0, 0}, // #776
10557  {DBGFIELD("FRECPEv1f16")        1, false, false,  6, 1, 21, 1,  0, 0}, // #777
10558  {DBGFIELD("FRSQRTEv1f16")       1, false, false,  6, 1, 21, 1,  0, 0}, // #778
10559  {DBGFIELD("FRECPXv1f16")        1, false, false,  6, 1, 21, 1,  0, 0}, // #779
10560  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #780
10561  {DBGFIELD("FMOVDXr")            1, false, false,  6, 1,  5, 1,  0, 0}, // #781
10562  {DBGFIELD("STRDroW_STRSroW")    3, false, false, 1053, 4,  5, 1,  0, 0}, // #782
10563  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 2, false, false,  6, 1,  5, 1,  0, 0}, // #783
10564  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false,  4, 1,  5, 1,  0, 0}, // #784
10565  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 2, false, false,  4, 1,  1, 1,  0, 0}, // #785
10566  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 2, false, false,  6, 1,  1, 1,  0, 0}, // #786
10567  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
10568  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 2, false, false,  5, 2, 137, 1,  0, 0}, // #788
10569  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false,  3, 2, 137, 1,  0, 0}, // #789
10570  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 2, false, false,  6, 1, 137, 1,  0, 0}, // #790
10571  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 2, false, false, 906, 2, 21, 1,  0, 0}, // #791
10572  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 2, false, false,  6, 1, 137, 1,  0, 0}, // #792
10573  {DBGFIELD("FABSv2f32")          2, false, false,  6, 1,  5, 1,  0, 0}, // #793
10574  {DBGFIELD("FABSv2f64_FABSv4f32") 2, false, false,  4, 1,  5, 1,  0, 0}, // #794
10575  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #795
10576  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #796
10577  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
10578  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #798
10579  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #799
10580  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  6, 1, 21, 1,  0, 0}, // #800
10581  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false,  6, 1, 21, 1,  0, 0}, // #801
10582  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #802
10583  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  6, 1, 21, 1,  0, 0}, // #803
10584  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false,  5, 2,  8, 1,  0, 0}, // #804
10585  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #805
10586  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #806
10587  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #807
10588  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false,  6, 1, 21, 1,  0, 0}, // #808
10589  {DBGFIELD("FMLAv2f32")          2, false, false,  5, 2, 10, 1,  0, 0}, // #809
10590  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #810
10591  {DBGFIELD("FMLSv2f32")          2, false, false,  5, 2, 10, 1,  0, 0}, // #811
10592  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false,  6, 1, 21, 1,  0, 0}, // #812
10593  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #813
10594  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #814
10595  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false,  5, 2,  5, 1,  0, 0}, // #815
10596  {DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false,  5, 2,  5, 1,  0, 0}, // #816
10597  {DBGFIELD("FABSHr")             2, false, false,  4, 1, 137, 1,  0, 0}, // #817
10598  {DBGFIELD("FADDHrr_FSUBHrr")    2, false, false,  4, 1, 137, 1,  0, 0}, // #818
10599  {DBGFIELD("FADDPv2i16p")        1, false, false,  6, 1, 21, 1,  0, 0}, // #819
10600  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false,  6, 1,  1, 1,  0, 0}, // #820
10601  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false,  6, 1,  1, 1,  0, 0}, // #821
10602  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false,  6, 1, 21, 1,  0, 0}, // #822
10603  {DBGFIELD("FDIVHrr")            2, false, false, 908, 4, 201, 1,  0, 0}, // #823
10604  {DBGFIELD("FMULHrr_FNMULHrr")   2, false, false,  3, 2, 21, 1,  0, 0}, // #824
10605  {DBGFIELD("FMULX16")            1, false, false,  6, 1, 21, 1,  0, 0}, // #825
10606  {DBGFIELD("FNEGHr")             2, false, false,  4, 1, 137, 1,  0, 0}, // #826
10607  {DBGFIELD("FCSELHrrr")          2, false, false,  4, 1, 137, 1,  0, 0}, // #827
10608  {DBGFIELD("FSQRTHr")            2, false, false, 908, 4, 201, 1,  0, 0}, // #828
10609  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false,  5, 2,  8, 1,  0, 0}, // #829
10610  {DBGFIELD("FMOVHi")             1, false, false,  6, 1, 21, 1,  0, 0}, // #830
10611  {DBGFIELD("FMOVHr")             2, false, false,  4, 1, 137, 1,  0, 0}, // #831
10612  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false,  6, 1, 21, 1,  0, 0}, // #832
10613  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false,  6, 1, 21, 1,  0, 0}, // #833
10614  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
10615  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 2, false, false,  3, 2,  8, 1,  0, 0}, // #835
10616  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #836
10617  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 2, false, false,  3, 2,  8, 1,  0, 0}, // #837
10618  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 2, false, false,  3, 2,  8, 1,  0, 0}, // #838
10619  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false,  6, 1, 21, 1,  0, 0}, // #839
10620  {DBGFIELD("FDIVv4f16")          1, false, false,  6, 1, 21, 1,  0, 0}, // #840
10621  {DBGFIELD("FDIVv8f16")          1, false, false,  6, 1, 21, 1,  0, 0}, // #841
10622  {DBGFIELD("FSQRTv4f16")         1, false, false,  6, 1, 21, 1,  0, 0}, // #842
10623  {DBGFIELD("FSQRTv8f16")         1, false, false,  6, 1, 21, 1,  0, 0}, // #843
10624  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 2, false, false,  6, 1,  1, 1,  0, 0}, // #844
10625  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 2, false, false,  4, 1,  1, 1,  0, 0}, // #845
10626  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 2, false, false,  6, 1,  5, 1,  0, 0}, // #846
10627  {DBGFIELD("PMULLv1i64")         2, false, false,  4, 1, 137, 1,  0, 0}, // #847
10628  {DBGFIELD("PMULLv8i8")          2, false, false,  4, 1,  1, 1,  0, 0}, // #848
10629  {DBGFIELD("SHA256H2rrr")        3, false, false, 991, 3,  8, 1,  0, 0}, // #849
10630  {DBGFIELD("TBNZW_TBZW")         1, false, false,  6, 1,  5, 1,  0, 0}, // #850
10631  {DBGFIELD("ADCSWr_ADCWr")       1, false, false,  6, 1,  5, 1,  1, 2}, // #851
10632  {DBGFIELD("SBCSWr_SBCWr")       1, false, false,  6, 1,  5, 1,  1, 2}, // #852
10633  {DBGFIELD("ADDWrs")             2, false, false,  4, 1,  1, 1,  1, 2}, // #853
10634  {DBGFIELD("SUBWrs")             2, false, false,  4, 1,  1, 1,  1, 2}, // #854
10635  {DBGFIELD("ADDSWrs")            2, false, false,  4, 1,  1, 1,  1, 2}, // #855
10636  {DBGFIELD("SUBSWrs")            2, false, false,  4, 1,  1, 1,  1, 2}, // #856
10637  {DBGFIELD("ADDSWrx_ADDWrx")     2, false, false,  4, 1,  1, 1,  1, 2}, // #857
10638  {DBGFIELD("SUBSWrx_SUBWrx")     2, false, false,  4, 1,  1, 1,  1, 2}, // #858
10639  {DBGFIELD("ADDWri")             1, false, false,  6, 1,  5, 1,  0, 1}, // #859
10640  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false,  6, 1,  0, 1,  0, 1}, // #860
10641  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false,  6, 1,  0, 1,  1, 2}, // #861
10642  {DBGFIELD("CSELWr")             1, false, false,  6, 1,  5, 1,  1, 2}, // #862
10643  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false,  5, 2,  5, 1,  1, 2}, // #863
10644  {DBGFIELD("CSINVWr")            1, false, false,  6, 1,  5, 1,  1, 2}, // #864
10645  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false,  6, 1,  5, 1,  0, 0}, // #865
10646  {DBGFIELD("LSLVWr")             2, false, false,  4, 1,  1, 1,  0, 0}, // #866
10647  {DBGFIELD("BFMWri")             1, false, false,  5, 2,  5, 1,  0, 0}, // #867
10648  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false,  5, 2,  5, 1,  0, 0}, // #868
10649  {DBGFIELD("CLSWr_CLZWr")        1, false, false,  6, 1,  1, 1,  0, 1}, // #869
10650  {DBGFIELD("RBITWr")             1, false, false,  6, 1,  1, 1,  0, 0}, // #870
10651  {DBGFIELD("REVWr_REV16Wr")      1, false, false,  5, 2,  5, 1,  0, 0}, // #871
10652  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
10653  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
10654  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
10655  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
10656  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
10657  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
10658  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
10659  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
10660  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
10661  {DBGFIELD("BRK")                1, false, false,  0, 0,  0, 1,  0, 0}, // #881
10662  {DBGFIELD("CBNZW_CBNZX")        1, false, false,  6, 1,  5, 1,  0, 0}, // #882
10663  {DBGFIELD("TBNZW")              1, false, false,  6, 1,  5, 1,  0, 0}, // #883
10664  {DBGFIELD("TBNZX")              1, false, false,  6, 1,  5, 1,  0, 0}, // #884
10665  {DBGFIELD("BR")                 1, false, false,  6, 1,  5, 1,  0, 0}, // #885
10666  {DBGFIELD("ADCWr")              1, false, false,  6, 1,  5, 1,  1, 2}, // #886
10667  {DBGFIELD("ADCXr")              1, false, false,  6, 1,  5, 1,  1, 2}, // #887
10668  {DBGFIELD("ASRVWr_RORVWr")      1, false, false,  6, 1,  5, 1,  0, 0}, // #888
10669  {DBGFIELD("ASRVXr_RORVXr")      1, false, false,  6, 1,  5, 1,  0, 0}, // #889
10670  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
10671  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false,  6, 1, 137, 1,  1, 2}, // #891
10672  {DBGFIELD("LDNPWi")             2, false, false,  7, 1, 297, 2,  0, 0}, // #892
10673  {DBGFIELD("LDPWi")              2, false, false,  7, 1, 297, 2,  0, 0}, // #893
10674  {DBGFIELD("LDRWl")              1, false, false,  7, 1, 137, 1,  0, 0}, // #894
10675  {DBGFIELD("LDTRBi")             1, false, false,  7, 1, 137, 1,  0, 0}, // #895
10676  {DBGFIELD("LDTRHi")             1, false, false,  7, 1, 137, 1,  0, 0}, // #896
10677  {DBGFIELD("LDTRWi")             1, false, false,  7, 1, 137, 1,  0, 0}, // #897
10678  {DBGFIELD("LDTRSBWi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #898
10679  {DBGFIELD("LDTRSBXi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #899
10680  {DBGFIELD("LDTRSHWi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #900
10681  {DBGFIELD("LDTRSHXi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #901
10682  {DBGFIELD("LDPWpre")            4, false, false, 918, 2, 299, 3,  0, 0}, // #902
10683  {DBGFIELD("LDRWpre")            3, false, false, 918, 2, 294, 2,  0, 0}, // #903
10684  {DBGFIELD("LDRXpre")            3, false, false, 918, 2, 294, 2,  0, 0}, // #904
10685  {DBGFIELD("LDRSBWpre")          3, false, false, 918, 2, 99, 2,  0, 0}, // #905
10686  {DBGFIELD("LDRSBXpre")          3, false, false, 918, 2, 99, 2,  0, 0}, // #906
10687  {DBGFIELD("LDRSBWpost")         3, false, false, 918, 2, 99, 2,  0, 0}, // #907
10688  {DBGFIELD("LDRSBXpost")         3, false, false, 918, 2, 99, 2,  0, 0}, // #908
10689  {DBGFIELD("LDRSHWpre")          3, false, false, 918, 2, 99, 2,  0, 0}, // #909
10690  {DBGFIELD("LDRSHXpre")          3, false, false, 918, 2, 99, 2,  0, 0}, // #910
10691  {DBGFIELD("LDRSHWpost")         3, false, false, 918, 2, 99, 2,  0, 0}, // #911
10692  {DBGFIELD("LDRSHXpost")         3, false, false, 918, 2, 99, 2,  0, 0}, // #912
10693  {DBGFIELD("LDRBBpre")           3, false, false, 918, 2, 294, 2,  0, 0}, // #913
10694  {DBGFIELD("LDRBBpost")          3, false, false, 918, 2, 294, 2,  0, 0}, // #914
10695  {DBGFIELD("LDRHHpre")           3, false, false, 918, 2, 294, 2,  0, 0}, // #915
10696  {DBGFIELD("LDRHHpost")          3, false, false, 918, 2, 294, 2,  0, 0}, // #916
10697  {DBGFIELD("LDPWpost")           4, false, false, 918, 2, 299, 3,  0, 0}, // #917
10698  {DBGFIELD("LDPXpost")           4, false, false, 918, 2, 299, 3,  0, 0}, // #918
10699  {DBGFIELD("LDRWpost")           3, false, false, 918, 2, 294, 2,  0, 0}, // #919
10700  {DBGFIELD("LDRWroW")            2, false, false, 994, 3,  8, 1,  0, 0}, // #920
10701  {DBGFIELD("LDRXroW")            2, false, false, 994, 3,  8, 1,  0, 0}, // #921
10702  {DBGFIELD("LDRWroX")            2, false, false, 994, 3,  8, 1,  0, 0}, // #922
10703  {DBGFIELD("LDRXroX")            2, false, false, 994, 3,  8, 1,  0, 0}, // #923
10704  {DBGFIELD("LDURBBi")            1, false, false,  7, 1, 137, 1,  0, 0}, // #924
10705  {DBGFIELD("LDURHHi")            1, false, false,  7, 1, 137, 1,  0, 0}, // #925
10706  {DBGFIELD("LDURXi")             1, false, false,  7, 1, 137, 1,  0, 0}, // #926
10707  {DBGFIELD("LDURSBWi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #927
10708  {DBGFIELD("LDURSBXi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #928
10709  {DBGFIELD("LDURSHWi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #929
10710  {DBGFIELD("LDURSHXi")           1, false, false,  7, 1,  8, 1,  0, 0}, // #930
10711  {DBGFIELD("PRFMl")              1, false, false,  7, 1,  0, 1,  0, 0}, // #931
10712  {DBGFIELD("STURBi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #932
10713  {DBGFIELD("STURBBi")            2, false, false, 938, 3,  0, 1,  0, 0}, // #933
10714  {DBGFIELD("STURDi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #934
10715  {DBGFIELD("STURHi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #935
10716  {DBGFIELD("STURHHi")            2, false, false, 938, 3,  0, 1,  0, 0}, // #936
10717  {DBGFIELD("STURWi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #937
10718  {DBGFIELD("STTRBi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #938
10719  {DBGFIELD("STTRHi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #939
10720  {DBGFIELD("STTRWi")             2, false, false, 938, 3,  0, 1,  0, 0}, // #940
10721  {DBGFIELD("STRBui")             2, false, false, 938, 3,  0, 1,  0, 0}, // #941
10722  {DBGFIELD("STRDui")             2, false, false, 938, 3,  0, 1,  0, 0}, // #942
10723  {DBGFIELD("STRHui")             2, false, false, 938, 3,  0, 1,  0, 0}, // #943
10724  {DBGFIELD("STRXui")             2, false, false, 938, 3,  0, 1,  0, 0}, // #944
10725  {DBGFIELD("STRWui")             2, false, false, 938, 3,  0, 1,  0, 0}, // #945
10726  {DBGFIELD("STRBBroW")           3, false, false, 1053, 4,  5, 1,  0, 0}, // #946
10727  {DBGFIELD("STRBBroX")           3, false, false, 1053, 4,  5, 1,  0, 0}, // #947
10728  {DBGFIELD("STRDroW")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #948
10729  {DBGFIELD("STRDroX")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #949
10730  {DBGFIELD("STRWroW")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #950
10731  {DBGFIELD("STRWroX")            3, false, false, 1053, 4,  5, 1,  0, 0}, // #951
10732  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
10733  {DBGFIELD("FADDv2f64_FSUBv2f64") 2, false, false,  4, 1, 137, 1,  0, 0}, // #953
10734  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  6, 1, 21, 1,  0, 0}, // #954
10735  {DBGFIELD("FADDv4f32_FSUBv4f32") 2, false, false,  4, 1, 137, 1,  0, 0}, // #955
10736  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
10737  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
10738  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 2, false, false,  4, 1, 137, 1,  0, 0}, // #958
10739  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
10740  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false,  6, 1, 21, 1,  0, 0}, // #960
10741  {DBGFIELD("FCMGEv1i16rz")       1, false, false,  6, 1, 21, 1,  0, 0}, // #961
10742  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 2, false, false,  6, 1,  5, 1,  0, 0}, // #962
10743  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 2, false, false,  5, 2,  5, 1,  0, 0}, // #963
10744  {DBGFIELD("UZP1v2i64_UZP2v2i64") 2, false, false,  4, 1,  5, 1,  0, 0}, // #964
10745  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
10746  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
10747  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
10748  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
10749  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
10750  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
10751  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false,  7, 1,  8, 1,  0, 0}, // #971
10752  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
10753  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
10754  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
10755  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
10756  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
10757  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
10758  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
10759  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
10760  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
10761  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
10762  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
10763  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
10764  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
10765  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
10766  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
10767  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
10768  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
10769  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
10770  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
10771  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
10772  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
10773  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
10774  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
10775  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
10776  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
10777  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
10778  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
10779  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
10780  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
10781  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
10782  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
10783  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
10784  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
10785  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
10786  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
10787  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
10788  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
10789  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
10790  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
10791  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
10792  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
10793  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
10794  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false,  7, 1,  8, 1,  0, 0}, // #1014
10795  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
10796  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
10797  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
10798  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
10799  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
10800  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
10801  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
10802  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
10803  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
10804  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
10805  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
10806  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
10807  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
10808  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
10809  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
10810  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
10811  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
10812  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
10813  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
10814  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
10815  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
10816  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
10817  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
10818  {DBGFIELD("WriteST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1038
10819  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
10820  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
10821  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
10822  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
10823  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
10824  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
10825  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
10826  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
10827  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
10828  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
10829  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
10830  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
10831  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
10832  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
10833  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
10834  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
10835  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
10836  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
10837  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
10838  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
10839  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
10840  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
10841  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
10842  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
10843  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
10844  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
10845  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
10846  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
10847  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
10848  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
10849  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
10850  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
10851  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
10852  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
10853  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
10854  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
10855  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
10856  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
10857  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
10858  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
10859  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
10860  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
10861  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
10862  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
10863  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
10864  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
10865  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
10866  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
10867  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
10868  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
10869  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
10870  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
10871  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
10872  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
10873  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
10874  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
10875  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
10876  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
10877  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
10878  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
10879  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
10880  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
10881  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
10882  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
10883  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
10884  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
10885  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
10886  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
10887  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
10888  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
10889  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
10890}; // KryoModelSchedClasses
10891
10892// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
10893static const llvm::MCSchedClassDesc ThunderXT8XModelSchedClasses[] = {
10894  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
10895  {DBGFIELD("WriteV")             1, false, false,  2, 1, 21, 1,  0, 0}, // #1
10896  {DBGFIELD("WriteI_ReadI_ReadI") 1, false, false,  7, 1,  2, 1, 18, 18}, // #2
10897  {DBGFIELD("WriteI_ReadI")       1, false, false,  7, 1,  2, 1, 18, 9}, // #3
10898  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #4
10899  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #5
10900  {DBGFIELD("WriteAdr")           1, false, false,  0, 0,  0, 1,  0, 0}, // #6
10901  {DBGFIELD("WriteI")             1, false, false,  7, 1,  2, 1,  0, 0}, // #7
10902  {DBGFIELD("WriteIS_ReadI")      1, false, false,  7, 1, 149, 1, 18, 9}, // #8
10903  {DBGFIELD("WriteSys")           1, false, false, 10, 1,  5, 1,  0, 0}, // #9
10904  {DBGFIELD("WriteBr")            1, false, false, 10, 1,  5, 1,  0, 0}, // #10
10905  {DBGFIELD("WriteBrReg")         1, false, false, 10, 1,  5, 1,  0, 0}, // #11
10906  {DBGFIELD("WriteAtomic")        16383, false, false,  0, 0,  0, 0,  0, 0}, // #12
10907  {DBGFIELD("WriteBarrier")       1, false, false, 10, 1,  5, 1,  0, 0}, // #13
10908  {DBGFIELD("WriteExtr_ReadExtrHi") 1, false, false,  7, 1,  1, 1,  3, 1}, // #14
10909  {DBGFIELD("WriteF")             1, false, false,  2, 1, 21, 1,  0, 0}, // #15
10910  {DBGFIELD("WriteFCmp")          1, false, false,  2, 1, 21, 1,  0, 0}, // #16
10911  {DBGFIELD("WriteFCvt")          1, false, false,  2, 1, 21, 1,  0, 0}, // #17
10912  {DBGFIELD("WriteFDiv")          1, false, false, 1071, 1, 302, 1,  0, 0}, // #18
10913  {DBGFIELD("WriteFMul")          1, false, false, 97, 1, 21, 1,  0, 0}, // #19
10914  {DBGFIELD("WriteFCopy")         1, false, false,  2, 1, 21, 1,  0, 0}, // #20
10915  {DBGFIELD("WriteFImm")          1, false, false,  2, 1, 21, 1,  0, 0}, // #21
10916  {DBGFIELD("WriteHint")          1, false, false, 10, 1,  5, 1,  0, 0}, // #22
10917  {DBGFIELD("WriteST")            1, false, false, 24, 1,  5, 1,  0, 0}, // #23
10918  {DBGFIELD("WriteLD")            1, false, false, 24, 1, 137, 1,  0, 0}, // #24
10919  {DBGFIELD("WriteLD_WriteLDHi")  2, false, false, 98, 1, 277, 2,  0, 0}, // #25
10920  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 98, 1, 303, 3,  0, 0}, // #26
10921  {DBGFIELD("WriteLD_WriteAdr")   2, false, false, 24, 1, 304, 2,  0, 0}, // #27
10922  {DBGFIELD("WriteLDIdx_ReadAdrBase") 1, false, false, 24, 1, 137, 1, 129, 1}, // #28
10923  {DBGFIELD("WriteLDAdr")         2, false, false, 24, 1, 137, 1,  0, 0}, // #29
10924  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false,  6, 1, 16, 1, 36, 27}, // #30
10925  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false,  6, 1, 154, 1, 36, 27}, // #31
10926  {DBGFIELD("WriteImm")           1, false, false,  7, 1, 18, 1,  0, 0}, // #32
10927  {DBGFIELD("WriteAdrAdr")        2, false, false,  0, 0,  0, 1,  0, 0}, // #33
10928  {DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 1072, 1, 207, 1, 36, 18}, // #34
10929  {DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 326, 1, 306, 1, 36, 18}, // #35
10930  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false,  6, 1, 154, 1, 36, 18}, // #36
10931  {DBGFIELD("WriteSTP")           1, false, false, 24, 1,  5, 1,  0, 0}, // #37
10932  {DBGFIELD("WriteAdr_WriteSTP")  2, false, false, 24, 1, 265, 2,  0, 0}, // #38
10933  {DBGFIELD("WriteAdr_WriteST")   2, false, false, 24, 1, 265, 2,  0, 0}, // #39
10934  {DBGFIELD("WriteSTX")           2, false, false, 98, 1,  8, 1,  0, 0}, // #40
10935  {DBGFIELD("WriteSTIdx_ReadAdrBase") 1, false, false, 24, 1,  5, 1, 129, 1}, // #41
10936  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 100, 3, 307, 4,  0, 0}, // #42
10937  {DBGFIELD("COPY")               1, false, false,  7, 1,  2, 1,  0, 0}, // #43
10938  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 24, 1, 21, 1,  0, 0}, // #44
10939  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 24, 1, 21, 1,  0, 0}, // #45
10940  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 24, 1, 21, 1,  0, 0}, // #46
10941  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 1, false, false, 232, 1, 187, 1,  0, 0}, // #47
10942  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 1, false, false, 1073, 1, 201, 1,  0, 0}, // #48
10943  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 1, false, false, 233, 1, 231, 1,  0, 0}, // #49
10944  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #50
10945  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #51
10946  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #52
10947  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #53
10948  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 2, false, false, 1073, 1, 313, 2,  0, 0}, // #54
10949  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #55
10950  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 24, 1, 21, 1,  0, 0}, // #56
10951  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 1, false, false, 24, 1, 21, 1,  0, 0}, // #57
10952  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 1, false, false, 232, 1, 187, 1,  0, 0}, // #58
10953  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 1, false, false, 233, 1, 231, 1,  0, 0}, // #59
10954  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #60
10955  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #61
10956  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #62
10957  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #63
10958  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 1, false, false, 232, 1, 187, 1,  0, 0}, // #64
10959  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 1, false, false, 232, 1, 187, 1,  0, 0}, // #65
10960  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 1, false, false, 233, 1, 231, 1,  0, 0}, // #66
10961  {DBGFIELD("LD3Threev2d")        1, false, false, 1073, 1, 201, 1,  0, 0}, // #67
10962  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #68
10963  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #69
10964  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #70
10965  {DBGFIELD("LD3Threev2d_POST")   2, false, false, 1073, 1, 313, 2,  0, 0}, // #71
10966  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 1, false, false, 232, 1, 187, 1,  0, 0}, // #72
10967  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 1, false, false, 232, 1, 187, 1,  0, 0}, // #73
10968  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 1, false, false, 233, 1, 231, 1,  0, 0}, // #74
10969  {DBGFIELD("LD4Fourv2d")         1, false, false, 233, 1, 231, 1,  0, 0}, // #75
10970  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #76
10971  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #77
10972  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #78
10973  {DBGFIELD("LD4Fourv2d_POST")    2, false, false, 233, 1, 315, 2,  0, 0}, // #79
10974  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 24, 1,  5, 1,  0, 0}, // #80
10975  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 24, 1,  5, 1,  0, 0}, // #81
10976  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 1, false, false, 24, 1,  5, 1,  0, 0}, // #82
10977  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 1, false, false, 233, 1, 117, 1,  0, 0}, // #83
10978  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 1, false, false, 233, 1, 117, 1,  0, 0}, // #84
10979  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #85
10980  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #86
10981  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #87
10982  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #88
10983  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #89
10984  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 24, 1,  5, 1,  0, 0}, // #90
10985  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 24, 1,  5, 1,  0, 0}, // #91
10986  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 1, false, false, 233, 1, 117, 1,  0, 0}, // #92
10987  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #93
10988  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #94
10989  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #95
10990  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 1, false, false, 233, 1, 117, 1,  0, 0}, // #96
10991  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 1, false, false, 1074, 1, 187, 1,  0, 0}, // #97
10992  {DBGFIELD("ST3Threev2d")        1, false, false, 233, 1, 117, 1,  0, 0}, // #98
10993  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #99
10994  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 2, false, false, 1074, 1, 311, 2,  0, 0}, // #100
10995  {DBGFIELD("ST3Threev2d_POST")   2, false, false, 233, 1, 317, 2,  0, 0}, // #101
10996  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 1, false, false, 233, 1, 117, 1,  0, 0}, // #102
10997  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 1, false, false, 1074, 1, 187, 1,  0, 0}, // #103
10998  {DBGFIELD("ST4Fourv2d")         1, false, false, 233, 1, 117, 1,  0, 0}, // #104
10999  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #105
11000  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 2, false, false, 1074, 1, 311, 2,  0, 0}, // #106
11001  {DBGFIELD("ST4Fourv2d_POST")    2, false, false, 233, 1, 317, 2,  0, 0}, // #107
11002  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1,  0, 0}, // #108
11003  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #109
11004  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 1, false, false, 97, 1, 117, 1,  0, 0}, // #110
11005  {DBGFIELD("FDIVSrr")            1, false, false, 1075, 1, 201, 1,  0, 0}, // #111
11006  {DBGFIELD("FDIVDrr")            1, false, false, 1071, 1, 302, 1,  0, 0}, // #112
11007  {DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 1075, 1, 201, 1,  0, 0}, // #113
11008  {DBGFIELD("FDIVv2f64")          1, false, false, 1071, 1, 302, 1,  0, 0}, // #114
11009  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 106, 1,  9, 1,  0, 0}, // #115
11010  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 108, 1, 319, 1,  0, 0}, // #116
11011  {DBGFIELD("BL")                 1, false, false, 10, 1,  5, 1,  0, 0}, // #117
11012  {DBGFIELD("BLR")                1, false, false, 10, 1,  5, 1,  0, 0}, // #118
11013  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #119
11014  {DBGFIELD("SMULHrr_UMULHrr")    1, false, false,  6, 1, 154, 1, 36, 18}, // #120
11015  {DBGFIELD("EXTRWrri")           1, false, false,  7, 1,  1, 1,  3, 1}, // #121
11016  {DBGFIELD("EXTRXrri")           1, false, false,  7, 1,  1, 1,  3, 1}, // #122
11017  {DBGFIELD("BFMWri_BFMXri")      1, false, false,  7, 1, 149, 1, 18, 9}, // #123
11018  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #124
11019  {DBGFIELD("AESDrr_AESErr")      1, false, false,  2, 1, 21, 1,  0, 0}, // #125
11020  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #126
11021  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false,  2, 1, 21, 1,  0, 0}, // #127
11022  {DBGFIELD("SHA1SU0rrr")         1, false, false,  2, 1, 21, 1,  0, 0}, // #128
11023  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  1, false, false,  2, 1, 21, 1,  0, 0}, // #129
11024  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #130
11025  {DBGFIELD("SHA256SU0rr")        1, false, false,  2, 1, 21, 1,  0, 0}, // #131
11026  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #132
11027  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #133
11028  {DBGFIELD("LD1i16_LD1i32_LD1i8") 1, false, false, 24, 1, 21, 1,  0, 0}, // #134
11029  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #135
11030  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 24, 1, 21, 1,  0, 0}, // #136
11031  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #137
11032  {DBGFIELD("LD1Rv1d")            1, false, false, 24, 1, 21, 1,  0, 0}, // #138
11033  {DBGFIELD("LD1Rv1d_POST")       2, false, false, 24, 1, 166, 2,  0, 0}, // #139
11034  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 24, 1, 21, 1,  0, 0}, // #140
11035  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #141
11036  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 232, 1, 187, 1,  0, 0}, // #142
11037  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #143
11038  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 1, false, false, 1073, 1, 201, 1,  0, 0}, // #144
11039  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 2, false, false, 1073, 1, 313, 2,  0, 0}, // #145
11040  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 1, false, false, 233, 1, 231, 1,  0, 0}, // #146
11041  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #147
11042  {DBGFIELD("LD2i16_LD2i8")       1, false, false, 24, 1, 21, 1,  0, 0}, // #148
11043  {DBGFIELD("LD2i16_POST_LD2i8_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #149
11044  {DBGFIELD("LD2i32")             1, false, false, 24, 1, 21, 1,  0, 0}, // #150
11045  {DBGFIELD("LD2i32_POST")        2, false, false, 24, 1, 166, 2,  0, 0}, // #151
11046  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 1, false, false, 24, 1, 21, 1,  0, 0}, // #152
11047  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 2, false, false, 24, 1, 166, 2,  0, 0}, // #153
11048  {DBGFIELD("LD2Rv1d")            1, false, false, 24, 1, 21, 1,  0, 0}, // #154
11049  {DBGFIELD("LD2Rv1d_POST")       2, false, false, 24, 1, 166, 2,  0, 0}, // #155
11050  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 1, false, false, 233, 1, 231, 1,  0, 0}, // #156
11051  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #157
11052  {DBGFIELD("LD3i16_LD3i8")       1, false, false, 232, 1, 187, 1,  0, 0}, // #158
11053  {DBGFIELD("LD3i16_POST_LD3i8_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #159
11054  {DBGFIELD("LD3i32")             1, false, false, 232, 1, 187, 1,  0, 0}, // #160
11055  {DBGFIELD("LD3i32_POST")        2, false, false, 232, 1, 311, 2,  0, 0}, // #161
11056  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 1, false, false, 232, 1, 187, 1,  0, 0}, // #162
11057  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #163
11058  {DBGFIELD("LD3Rv1d")            1, false, false, 232, 1, 187, 1,  0, 0}, // #164
11059  {DBGFIELD("LD3Rv1d_POST")       2, false, false, 232, 1, 311, 2,  0, 0}, // #165
11060  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 1, false, false, 232, 1, 187, 1,  0, 0}, // #166
11061  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #167
11062  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 1, false, false, 233, 1, 231, 1,  0, 0}, // #168
11063  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #169
11064  {DBGFIELD("LD4i16_LD4i8")       1, false, false, 232, 1, 187, 1,  0, 0}, // #170
11065  {DBGFIELD("LD4i16_POST_LD4i8_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #171
11066  {DBGFIELD("LD4i32")             1, false, false, 232, 1, 187, 1,  0, 0}, // #172
11067  {DBGFIELD("LD4i32_POST")        2, false, false, 232, 1, 311, 2,  0, 0}, // #173
11068  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 1, false, false, 232, 1, 187, 1,  0, 0}, // #174
11069  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #175
11070  {DBGFIELD("LD4Rv1d")            1, false, false, 232, 1, 187, 1,  0, 0}, // #176
11071  {DBGFIELD("LD4Rv1d_POST")       2, false, false, 232, 1, 311, 2,  0, 0}, // #177
11072  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 1, false, false, 232, 1, 187, 1,  0, 0}, // #178
11073  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 2, false, false, 232, 1, 311, 2,  0, 0}, // #179
11074  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 1, false, false, 233, 1, 231, 1,  0, 0}, // #180
11075  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 2, false, false, 233, 1, 315, 2,  0, 0}, // #181
11076  {DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 24, 1,  5, 1,  0, 0}, // #182
11077  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #183
11078  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 24, 1,  5, 1,  0, 0}, // #184
11079  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #185
11080  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 1, false, false, 24, 1,  5, 1,  0, 0}, // #186
11081  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #187
11082  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 1, false, false, 233, 1, 117, 1,  0, 0}, // #188
11083  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #189
11084  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 1, false, false, 233, 1, 117, 1,  0, 0}, // #190
11085  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #191
11086  {DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 24, 1,  5, 1,  0, 0}, // #192
11087  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 24, 1, 266, 2,  0, 0}, // #193
11088  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 1, false, false, 233, 1, 117, 1,  0, 0}, // #194
11089  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #195
11090  {DBGFIELD("ST3i16_ST3i8")       1, false, false, 233, 1, 117, 1,  0, 0}, // #196
11091  {DBGFIELD("ST3i16_POST_ST3i8_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #197
11092  {DBGFIELD("ST3i32")             1, false, false, 233, 1, 117, 1,  0, 0}, // #198
11093  {DBGFIELD("ST3i32_POST")        2, false, false, 233, 1, 317, 2,  0, 0}, // #199
11094  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 1, false, false, 1074, 1, 187, 1,  0, 0}, // #200
11095  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 2, false, false, 1074, 1, 311, 2,  0, 0}, // #201
11096  {DBGFIELD("ST4i16_ST4i8")       1, false, false, 233, 1, 117, 1,  0, 0}, // #202
11097  {DBGFIELD("ST4i16_POST_ST4i8_POST") 2, false, false, 233, 1, 317, 2,  0, 0}, // #203
11098  {DBGFIELD("ST4i32")             1, false, false, 233, 1, 117, 1,  0, 0}, // #204
11099  {DBGFIELD("ST4i32_POST")        2, false, false, 233, 1, 317, 2,  0, 0}, // #205
11100  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 1, false, false, 1074, 1, 187, 1,  0, 0}, // #206
11101  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 2, false, false, 1074, 1, 311, 2,  0, 0}, // #207
11102  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #208
11103  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #209
11104  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #210
11105  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #211
11106  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #212
11107  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #213
11108  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #214
11109  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #215
11110  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #216
11111  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #217
11112  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #218
11113  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #219
11114  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #220
11115  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #221
11116  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
11117  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #223
11118  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #224
11119  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #225
11120  {DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #226
11121  {DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #227
11122  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #228
11123  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #229
11124  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
11125  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #231
11126  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #232
11127  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #233
11128  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #234
11129  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #235
11130  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #236
11131  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #237
11132  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #238
11133  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #239
11134  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #240
11135  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #241
11136  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #242
11137  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #243
11138  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #244
11139  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #245
11140  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #246
11141  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #247
11142  {DBGFIELD("FDIVv2f32")          1, false, false, 1075, 1, 201, 1,  0, 0}, // #248
11143  {DBGFIELD("FSQRTv2f32")         1, false, false, 106, 1,  9, 1,  0, 0}, // #249
11144  {DBGFIELD("FSQRTv4f32")         1, false, false, 106, 1,  9, 1,  0, 0}, // #250
11145  {DBGFIELD("FSQRTv2f64")         1, false, false, 108, 1, 319, 1,  0, 0}, // #251
11146  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #252
11147  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #253
11148  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #254
11149  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #255
11150  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #256
11151  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #257
11152  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #258
11153  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #259
11154  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #260
11155  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #261
11156  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #262
11157  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #263
11158  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #264
11159  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #265
11160  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #266
11161  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
11162  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #268
11163  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #269
11164  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 106, 1,  9, 1,  0, 0}, // #270
11165  {DBGFIELD("FRSQRTEv1i64")       1, false, false, 108, 1, 319, 1,  0, 0}, // #271
11166  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #272
11167  {DBGFIELD("FRSQRTEv2f64")       1, false, false, 108, 1, 319, 1,  0, 0}, // #273
11168  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 106, 1,  9, 1,  0, 0}, // #274
11169  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #275
11170  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 106, 1,  9, 1,  0, 0}, // #276
11171  {DBGFIELD("FRSQRTS64")          1, false, false, 108, 1, 319, 1,  0, 0}, // #277
11172  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #278
11173  {DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false,  2, 1, 21, 1,  0, 0}, // #279
11174  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false,  2, 1, 21, 1,  0, 0}, // #280
11175  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false,  2, 1, 21, 1,  0, 0}, // #281
11176  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false,  2, 1, 21, 1,  0, 0}, // #282
11177  {DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false,  2, 1, 21, 1,  0, 0}, // #283
11178  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false,  2, 1, 21, 1,  0, 0}, // #284
11179  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false,  2, 1, 21, 1,  0, 0}, // #285
11180  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false,  2, 1, 21, 1,  0, 0}, // #286
11181  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #287
11182  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #288
11183  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #289
11184  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #290
11185  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1,  0, 0}, // #291
11186  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #292
11187  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false,  2, 1, 21, 1,  0, 0}, // #293
11188  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #294
11189  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
11190  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #296
11191  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #297
11192  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #298
11193  {DBGFIELD("FSQRTDr")            1, false, false, 1071, 1, 302, 1,  0, 0}, // #299
11194  {DBGFIELD("FSQRTSr")            1, false, false, 1071, 1, 302, 1,  0, 0}, // #300
11195  {DBGFIELD("LDNPDi")             2, false, false, 98, 1, 277, 2,  0, 0}, // #301
11196  {DBGFIELD("LDNPQi")             2, false, false, 98, 1, 277, 2,  0, 0}, // #302
11197  {DBGFIELD("LDNPSi")             2, false, false, 98, 1, 277, 2,  0, 0}, // #303
11198  {DBGFIELD("LDPDi")              2, false, false, 98, 1, 277, 2,  0, 0}, // #304
11199  {DBGFIELD("LDPDpost")           3, false, false, 98, 1, 303, 3,  0, 0}, // #305
11200  {DBGFIELD("LDPDpre")            3, false, false, 98, 1, 303, 3,  0, 0}, // #306
11201  {DBGFIELD("LDPQi")              2, false, false, 98, 1, 277, 2,  0, 0}, // #307
11202  {DBGFIELD("LDPQpost")           3, false, false, 98, 1, 303, 3,  0, 0}, // #308
11203  {DBGFIELD("LDPQpre")            3, false, false, 98, 1, 303, 3,  0, 0}, // #309
11204  {DBGFIELD("LDPSWi")             2, false, false, 98, 1, 277, 2,  0, 0}, // #310
11205  {DBGFIELD("LDPSWpost")          3, false, false, 98, 1, 303, 3,  0, 0}, // #311
11206  {DBGFIELD("LDPSWpre")           3, false, false, 98, 1, 303, 3,  0, 0}, // #312
11207  {DBGFIELD("LDPSi")              2, false, false, 98, 1, 277, 2,  0, 0}, // #313
11208  {DBGFIELD("LDPSpost")           3, false, false, 98, 1, 303, 3,  0, 0}, // #314
11209  {DBGFIELD("LDPSpre")            3, false, false, 98, 1, 303, 3,  0, 0}, // #315
11210  {DBGFIELD("LDRBpost")           2, false, false, 24, 1, 304, 2,  0, 0}, // #316
11211  {DBGFIELD("LDRBpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #317
11212  {DBGFIELD("LDRBroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #318
11213  {DBGFIELD("LDRBroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #319
11214  {DBGFIELD("LDRBui")             1, false, false, 24, 1, 137, 1,  0, 0}, // #320
11215  {DBGFIELD("LDRDl")              1, false, false, 24, 1, 137, 1,  0, 0}, // #321
11216  {DBGFIELD("LDRDpost")           2, false, false, 24, 1, 304, 2,  0, 0}, // #322
11217  {DBGFIELD("LDRDpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #323
11218  {DBGFIELD("LDRDroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #324
11219  {DBGFIELD("LDRDroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #325
11220  {DBGFIELD("LDRDui")             1, false, false, 24, 1, 137, 1,  0, 0}, // #326
11221  {DBGFIELD("LDRHHroW")           1, false, false, 24, 1, 137, 1, 129, 1}, // #327
11222  {DBGFIELD("LDRHHroX")           1, false, false, 24, 1, 137, 1, 129, 1}, // #328
11223  {DBGFIELD("LDRHpost")           2, false, false, 24, 1, 304, 2,  0, 0}, // #329
11224  {DBGFIELD("LDRHpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #330
11225  {DBGFIELD("LDRHroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #331
11226  {DBGFIELD("LDRHroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #332
11227  {DBGFIELD("LDRHui")             1, false, false, 24, 1, 137, 1,  0, 0}, // #333
11228  {DBGFIELD("LDRQl")              1, false, false, 24, 1, 137, 1,  0, 0}, // #334
11229  {DBGFIELD("LDRQpost")           2, false, false, 24, 1, 304, 2,  0, 0}, // #335
11230  {DBGFIELD("LDRQpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #336
11231  {DBGFIELD("LDRQroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #337
11232  {DBGFIELD("LDRQroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #338
11233  {DBGFIELD("LDRQui")             1, false, false, 24, 1, 137, 1,  0, 0}, // #339
11234  {DBGFIELD("LDRSHWroW")          1, false, false, 24, 1, 137, 1, 129, 1}, // #340
11235  {DBGFIELD("LDRSHWroX")          1, false, false, 24, 1, 137, 1, 129, 1}, // #341
11236  {DBGFIELD("LDRSHXroW")          1, false, false, 24, 1, 137, 1, 129, 1}, // #342
11237  {DBGFIELD("LDRSHXroX")          1, false, false, 24, 1, 137, 1, 129, 1}, // #343
11238  {DBGFIELD("LDRSl")              1, false, false, 24, 1, 137, 1,  0, 0}, // #344
11239  {DBGFIELD("LDRSpost")           2, false, false, 24, 1, 304, 2,  0, 0}, // #345
11240  {DBGFIELD("LDRSpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #346
11241  {DBGFIELD("LDRSroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #347
11242  {DBGFIELD("LDRSroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #348
11243  {DBGFIELD("LDRSui")             1, false, false, 24, 1, 137, 1,  0, 0}, // #349
11244  {DBGFIELD("LDURBi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #350
11245  {DBGFIELD("LDURDi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #351
11246  {DBGFIELD("LDURHi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #352
11247  {DBGFIELD("LDURQi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #353
11248  {DBGFIELD("LDURSi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #354
11249  {DBGFIELD("STNPDi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #355
11250  {DBGFIELD("STNPQi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #356
11251  {DBGFIELD("STNPXi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #357
11252  {DBGFIELD("STPDi")              1, false, false, 24, 1,  5, 1,  0, 0}, // #358
11253  {DBGFIELD("STPDpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #359
11254  {DBGFIELD("STPDpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #360
11255  {DBGFIELD("STPQi")              1, false, false, 24, 1,  5, 1,  0, 0}, // #361
11256  {DBGFIELD("STPQpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #362
11257  {DBGFIELD("STPQpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #363
11258  {DBGFIELD("STPSpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #364
11259  {DBGFIELD("STPSpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #365
11260  {DBGFIELD("STPWpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #366
11261  {DBGFIELD("STPWpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #367
11262  {DBGFIELD("STPXi")              1, false, false, 24, 1,  5, 1,  0, 0}, // #368
11263  {DBGFIELD("STPXpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #369
11264  {DBGFIELD("STPXpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #370
11265  {DBGFIELD("STRBBpost")          2, false, false, 24, 1, 265, 2,  0, 0}, // #371
11266  {DBGFIELD("STRBBpre")           2, false, false, 24, 1, 265, 2,  0, 0}, // #372
11267  {DBGFIELD("STRBpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #373
11268  {DBGFIELD("STRBpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #374
11269  {DBGFIELD("STRBroW")            1, false, false, 24, 1,  5, 1, 129, 1}, // #375
11270  {DBGFIELD("STRBroX")            1, false, false, 24, 1,  5, 1, 129, 1}, // #376
11271  {DBGFIELD("STRDpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #377
11272  {DBGFIELD("STRDpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #378
11273  {DBGFIELD("STRHHpost")          2, false, false, 24, 1, 265, 2,  0, 0}, // #379
11274  {DBGFIELD("STRHHpre")           2, false, false, 24, 1, 265, 2,  0, 0}, // #380
11275  {DBGFIELD("STRHHroW")           1, false, false, 24, 1,  5, 1, 129, 1}, // #381
11276  {DBGFIELD("STRHHroX")           1, false, false, 24, 1,  5, 1, 129, 1}, // #382
11277  {DBGFIELD("STRHpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #383
11278  {DBGFIELD("STRHpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #384
11279  {DBGFIELD("STRHroW")            1, false, false, 24, 1,  5, 1, 129, 1}, // #385
11280  {DBGFIELD("STRHroX")            1, false, false, 24, 1,  5, 1, 129, 1}, // #386
11281  {DBGFIELD("STRQpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #387
11282  {DBGFIELD("STRQpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #388
11283  {DBGFIELD("STRQroW")            1, false, false, 24, 1,  5, 1, 129, 1}, // #389
11284  {DBGFIELD("STRQroX")            1, false, false, 24, 1,  5, 1, 129, 1}, // #390
11285  {DBGFIELD("STRQui")             1, false, false, 24, 1,  5, 1,  0, 0}, // #391
11286  {DBGFIELD("STRSpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #392
11287  {DBGFIELD("STRSpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #393
11288  {DBGFIELD("STRWpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #394
11289  {DBGFIELD("STRWpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #395
11290  {DBGFIELD("STRXpost")           2, false, false, 24, 1, 265, 2,  0, 0}, // #396
11291  {DBGFIELD("STRXpre")            2, false, false, 24, 1, 265, 2,  0, 0}, // #397
11292  {DBGFIELD("STURQi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #398
11293  {DBGFIELD("MOVZWi_MOVZXi")      1, false, false,  7, 1, 18, 1,  0, 0}, // #399
11294  {DBGFIELD("ANDWri_ANDXri")      1, false, false,  7, 1,  2, 1, 18, 9}, // #400
11295  {DBGFIELD("ORRXrr_ADDXrr")      1, false, false,  7, 1,  2, 1, 18, 18}, // #401
11296  {DBGFIELD("ISB")                1, false, false, 10, 1,  5, 1,  0, 0}, // #402
11297  {DBGFIELD("ORRv16i8")           1, false, false,  2, 1, 21, 1,  0, 0}, // #403
11298  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #404
11299  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #405
11300  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #406
11301  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #407
11302  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #408
11303  {DBGFIELD("ADDVv16i8v")         1, false, false,  2, 1, 21, 1,  0, 0}, // #409
11304  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #410
11305  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #411
11306  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #412
11307  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #413
11308  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #414
11309  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #415
11310  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #416
11311  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #417
11312  {DBGFIELD("FADDPv2i32p")        1, false, false,  2, 1, 21, 1,  0, 0}, // #418
11313  {DBGFIELD("FADDPv2i64p")        1, false, false,  2, 1, 21, 1,  0, 0}, // #419
11314  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #420
11315  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #421
11316  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false,  2, 1, 21, 1,  0, 0}, // #422
11317  {DBGFIELD("FADDSrr_FSUBSrr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #423
11318  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #424
11319  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #425
11320  {DBGFIELD("FADDPv4f32")         1, false, false,  2, 1, 21, 1,  0, 0}, // #426
11321  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #427
11322  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #428
11323  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
11324  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #430
11325  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #431
11326  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #432
11327  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
11328  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #434
11329  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #435
11330  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #436
11331  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #437
11332  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #438
11333  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #439
11334  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #440
11335  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #441
11336  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #442
11337  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #443
11338  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #444
11339  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #445
11340  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #446
11341  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #447
11342  {DBGFIELD("FMULDrr_FNMULDrr")   1, false, false, 97, 1, 21, 1,  0, 0}, // #448
11343  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #449
11344  {DBGFIELD("FMULX64")            1, false, false,  2, 1, 21, 1,  0, 0}, // #450
11345  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
11346  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1,  0, 0}, // #452
11347  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #453
11348  {DBGFIELD("FMLAv4f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #454
11349  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #455
11350  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #456
11351  {DBGFIELD("URSQRTEv2i32")       1, false, false, 106, 1,  9, 1,  0, 0}, // #457
11352  {DBGFIELD("URSQRTEv4i32")       1, false, false, 106, 1,  9, 1,  0, 0}, // #458
11353  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #459
11354  {DBGFIELD("FRECPSv2f32")        1, false, false,  2, 1, 21, 1,  0, 0}, // #460
11355  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #461
11356  {DBGFIELD("FRSQRTSv2f32")       1, false, false, 106, 1,  9, 1,  0, 0}, // #462
11357  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #463
11358  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #464
11359  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #465
11360  {DBGFIELD("AESIMCrr_AESMCrr")   1, false, false,  2, 1, 21, 1,  0, 0}, // #466
11361  {DBGFIELD("SHA256SU1rrr")       1, false, false,  2, 1, 21, 1,  0, 0}, // #467
11362  {DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #468
11363  {DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #469
11364  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #470
11365  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #471
11366  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #472
11367  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #473
11368  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #474
11369  {DBGFIELD("FCVTXNv1i64")        1, false, false,  2, 1, 21, 1,  0, 0}, // #475
11370  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #476
11371  {DBGFIELD("FMULX32")            1, false, false,  2, 1, 21, 1,  0, 0}, // #477
11372  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #478
11373  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #479
11374  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #480
11375  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #481
11376  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #482
11377  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #483
11378  {DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #484
11379  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #485
11380  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #486
11381  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #487
11382  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #488
11383  {DBGFIELD("ADDPv2i64p")         1, false, false,  2, 1, 21, 1,  0, 0}, // #489
11384  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #490
11385  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #491
11386  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #492
11387  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #493
11388  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #494
11389  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #495
11390  {DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #496
11391  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #497
11392  {DBGFIELD("SSHRd_USHRd")        1, false, false,  2, 1, 21, 1,  0, 0}, // #498
11393  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #499
11394  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #500
11395  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #501
11396  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #502
11397  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #503
11398  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #504
11399  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #505
11400  {DBGFIELD("SHLd")               1, false, false,  2, 1, 21, 1,  0, 0}, // #506
11401  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #507
11402  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #508
11403  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #509
11404  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #510
11405  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #511
11406  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #512
11407  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #513
11408  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #514
11409  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false,  2, 1, 21, 1,  0, 0}, // #515
11410  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #516
11411  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #517
11412  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #518
11413  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #519
11414  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #520
11415  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #521
11416  {DBGFIELD("ADDVv4i16v")         1, false, false,  2, 1, 21, 1,  0, 0}, // #522
11417  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #523
11418  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #524
11419  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #525
11420  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #526
11421  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #527
11422  {DBGFIELD("ADDVv4i32v")         1, false, false,  2, 1, 21, 1,  0, 0}, // #528
11423  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #529
11424  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #530
11425  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #531
11426  {DBGFIELD("ADDPv2i64")          1, false, false,  2, 1, 21, 1,  0, 0}, // #532
11427  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #533
11428  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #534
11429  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #535
11430  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #536
11431  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #537
11432  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #538
11433  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #539
11434  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #540
11435  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #541
11436  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #542
11437  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #543
11438  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #544
11439  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #545
11440  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #546
11441  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #547
11442  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #548
11443  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #549
11444  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #550
11445  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #551
11446  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #552
11447  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #553
11448  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #554
11449  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #555
11450  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false,  7, 1,  2, 1, 18, 9}, // #556
11451  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #557
11452  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #558
11453  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false,  7, 1,  2, 1, 18, 9}, // #559
11454  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #560
11455  {DBGFIELD("ADDXrr")             1, false, false,  7, 1,  2, 1, 18, 18}, // #561
11456  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #562
11457  {DBGFIELD("ANDSWri_ANDSXri")    1, false, false,  7, 1,  2, 1, 18, 9}, // #563
11458  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #564
11459  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #565
11460  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #566
11461  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #567
11462  {DBGFIELD("EONWrr_EONXrr")      1, false, false,  7, 1,  2, 1, 18, 18}, // #568
11463  {DBGFIELD("EONWrs_EONXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #569
11464  {DBGFIELD("EORWri_EORXri")      1, false, false,  7, 1,  2, 1, 18, 9}, // #570
11465  {DBGFIELD("EORWrr_EORXrr")      1, false, false,  7, 1,  2, 1, 18, 18}, // #571
11466  {DBGFIELD("EORWrs_EORXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #572
11467  {DBGFIELD("ORNWrr_ORNXrr")      1, false, false,  7, 1,  2, 1, 18, 18}, // #573
11468  {DBGFIELD("ORNWrs_ORNXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #574
11469  {DBGFIELD("ORRWri_ORRXri")      1, false, false,  7, 1,  2, 1, 18, 9}, // #575
11470  {DBGFIELD("ORRWrr")             1, false, false,  7, 1,  2, 1, 18, 18}, // #576
11471  {DBGFIELD("ORRWrs_ORRXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #577
11472  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #578
11473  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false,  7, 1,  2, 1, 18, 9}, // #579
11474  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #580
11475  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #581
11476  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #582
11477  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #583
11478  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #584
11479  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #585
11480  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #586
11481  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #587
11482  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #588
11483  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #589
11484  {DBGFIELD("EXTv8i8")            1, false, false,  2, 1, 21, 1,  0, 0}, // #590
11485  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #591
11486  {DBGFIELD("TBLv8i8One")         1, false, false,  2, 1, 21, 1,  0, 0}, // #592
11487  {DBGFIELD("NOTv8i8")            1, false, false,  2, 1, 21, 1,  0, 0}, // #593
11488  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #594
11489  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #595
11490  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #596
11491  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #597
11492  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #598
11493  {DBGFIELD("FRECPS32")           1, false, false,  2, 1, 21, 1,  0, 0}, // #599
11494  {DBGFIELD("EXTv16i8")           1, false, false,  2, 1, 21, 1,  0, 0}, // #600
11495  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #601
11496  {DBGFIELD("NOTv16i8")           1, false, false,  2, 1, 21, 1,  0, 0}, // #602
11497  {DBGFIELD("TBLv16i8One")        1, false, false,  2, 1, 21, 1,  0, 0}, // #603
11498  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #604
11499  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #605
11500  {DBGFIELD("TBLv8i8Two")         1, false, false,  2, 1, 21, 1,  0, 0}, // #606
11501  {DBGFIELD("FRECPSv4f32")        1, false, false,  2, 1, 21, 1,  0, 0}, // #607
11502  {DBGFIELD("TBLv16i8Two")        1, false, false,  2, 1, 21, 1,  0, 0}, // #608
11503  {DBGFIELD("TBLv8i8Three")       1, false, false,  2, 1, 21, 1,  0, 0}, // #609
11504  {DBGFIELD("TBLv16i8Three")      1, false, false,  2, 1, 21, 1,  0, 0}, // #610
11505  {DBGFIELD("TBLv8i8Four")        1, false, false,  2, 1, 21, 1,  0, 0}, // #611
11506  {DBGFIELD("TBLv16i8Four")       1, false, false,  2, 1, 21, 1,  0, 0}, // #612
11507  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 24, 1,  5, 1,  0, 0}, // #613
11508  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 1, false, false, 24, 1,  5, 1, 129, 1}, // #614
11509  {DBGFIELD("STPSi")              1, false, false, 24, 1,  5, 1,  0, 0}, // #615
11510  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 24, 1,  5, 1,  0, 0}, // #616
11511  {DBGFIELD("STNPSi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #617
11512  {DBGFIELD("B")                  1, false, false, 10, 1,  5, 1,  0, 0}, // #618
11513  {DBGFIELD("TCRETURNdi")         1, false, false, 10, 1,  5, 1,  0, 0}, // #619
11514  {DBGFIELD("BR_RET")             1, false, false,  7, 1,  5, 1,  0, 0}, // #620
11515  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 10, 1,  5, 1,  0, 0}, // #621
11516  {DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 10, 1,  5, 1,  0, 0}, // #622
11517  {DBGFIELD("Bcc")                1, false, false, 10, 1,  5, 1,  0, 0}, // #623
11518  {DBGFIELD("SHA1Hrr")            1, false, false,  2, 1, 21, 1,  0, 0}, // #624
11519  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #625
11520  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #626
11521  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #627
11522  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #628
11523  {DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #629
11524  {DBGFIELD("FCVTSHr_FCVTDHr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #630
11525  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #631
11526  {DBGFIELD("FCVTHSr_FCVTHDr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #632
11527  {DBGFIELD("FCVTSDr")            1, false, false,  2, 1, 21, 1,  0, 0}, // #633
11528  {DBGFIELD("FMULSrr_FNMULSrr")   1, false, false, 97, 1, 21, 1,  0, 0}, // #634
11529  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #635
11530  {DBGFIELD("FMOVDi_FMOVSi")      1, false, false,  2, 1, 21, 1,  0, 0}, // #636
11531  {DBGFIELD("FMOVDr_FMOVSr")      1, false, false,  2, 1, 21, 1,  0, 0}, // #637
11532  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false,  2, 1, 21, 1,  0, 0}, // #638
11533  {DBGFIELD("FMOVD0_FMOVS0")      1, false, false,  2, 1, 21, 1,  0, 0}, // #639
11534  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #640
11535  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #641
11536  {DBGFIELD("PRFMui_PRFMl")       1, false, false, 24, 1, 137, 1,  0, 0}, // #642
11537  {DBGFIELD("PRFUMi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #643
11538  {DBGFIELD("LDNPWi_LDNPXi")      2, false, false, 98, 1, 277, 2,  0, 0}, // #644
11539  {DBGFIELD("LDPWi_LDPXi")        2, false, false, 98, 1, 277, 2,  0, 0}, // #645
11540  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 98, 1, 303, 3,  0, 0}, // #646
11541  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 24, 1, 137, 1,  0, 0}, // #647
11542  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 24, 1, 304, 2,  0, 0}, // #648
11543  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #649
11544  {DBGFIELD("LDRWl_LDRXl")        1, false, false, 24, 1, 137, 1,  0, 0}, // #650
11545  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 24, 1, 137, 1,  0, 0}, // #651
11546  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 24, 1, 137, 1,  0, 0}, // #652
11547  {DBGFIELD("PRFMroW_PRFMroX")    1, false, false, 24, 1, 137, 1,  0, 0}, // #653
11548  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 24, 1, 137, 1,  0, 0}, // #654
11549  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 24, 1, 304, 2,  0, 0}, // #655
11550  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #656
11551  {DBGFIELD("LDRSWl")             1, false, false, 24, 1, 137, 1,  0, 0}, // #657
11552  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 24, 1, 137, 1,  0, 0}, // #658
11553  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 24, 1, 137, 1,  0, 0}, // #659
11554  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false,  7, 1, 149, 1, 18, 9}, // #660
11555  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false,  7, 1,  2, 1, 18, 9}, // #661
11556  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false,  6, 1, 16, 1, 36, 27}, // #662
11557  {DBGFIELD("MADDWrrr_MSUBWrrr")  1, false, false,  6, 1, 16, 1, 36, 27}, // #663
11558  {DBGFIELD("MADDXrrr_MSUBXrrr")  1, false, false,  6, 1, 154, 1, 36, 27}, // #664
11559  {DBGFIELD("SDIVWr_UDIVWr")      1, false, false, 1072, 1, 207, 1, 36, 18}, // #665
11560  {DBGFIELD("SDIVXr_UDIVXr")      1, false, false, 326, 1, 306, 1, 36, 18}, // #666
11561  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false,  7, 1, 149, 1, 18, 9}, // #667
11562  {DBGFIELD("MOVKWi_MOVKXi")      1, false, false,  7, 1,  2, 1, 18, 9}, // #668
11563  {DBGFIELD("ADR_ADRP")           1, false, false,  7, 1,  2, 1,  0, 0}, // #669
11564  {DBGFIELD("MOVNWi_MOVNXi")      1, false, false,  7, 1, 18, 1,  0, 0}, // #670
11565  {DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false,  7, 1, 18, 1,  0, 0}, // #671
11566  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false,  0, 0,  0, 1,  0, 0}, // #672
11567  {DBGFIELD("LOADgot")            2, false, false, 24, 1, 137, 1,  0, 0}, // #673
11568  {DBGFIELD("CLREX_DMB_DSB")      1, false, false, 10, 1,  5, 1,  0, 0}, // #674
11569  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 10, 1,  5, 1,  0, 0}, // #675
11570  {DBGFIELD("HINT")               1, false, false, 10, 1,  5, 1,  0, 0}, // #676
11571  {DBGFIELD("SYSxt_SYSLxt")       1, false, false, 10, 1,  5, 1,  0, 0}, // #677
11572  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 10, 1,  5, 1,  0, 0}, // #678
11573  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 24, 1, 137, 1,  0, 0}, // #679
11574  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 98, 1, 277, 2,  0, 0}, // #680
11575  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false, 10, 1,  5, 1,  0, 0}, // #681
11576  {DBGFIELD("DRPS")               1, false, false, 10, 1,  5, 1,  0, 0}, // #682
11577  {DBGFIELD("MSR")                1, false, false, 10, 1,  5, 1,  0, 0}, // #683
11578  {DBGFIELD("STNPWi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #684
11579  {DBGFIELD("ERET")               1, false, false, 10, 1,  5, 1,  0, 0}, // #685
11580  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #686
11581  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 24, 1,  5, 1,  0, 0}, // #687
11582  {DBGFIELD("STXPW_STXPX")        2, false, false, 98, 1,  8, 1,  0, 0}, // #688
11583  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 98, 1,  8, 1,  0, 0}, // #689
11584  {DBGFIELD("STLXPW_STLXPX")      2, false, false, 98, 1,  8, 1,  0, 0}, // #690
11585  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 98, 1,  8, 1,  0, 0}, // #691
11586  {DBGFIELD("STPWi")              1, false, false, 24, 1,  5, 1,  0, 0}, // #692
11587  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 24, 1,  5, 1,  0, 0}, // #693
11588  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 1, false, false, 24, 1,  5, 1, 129, 1}, // #694
11589  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 24, 1,  5, 1,  0, 0}, // #695
11590  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 24, 1,  5, 1,  0, 0}, // #696
11591  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #697
11592  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #698
11593  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #699
11594  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #700
11595  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #701
11596  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #702
11597  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #703
11598  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #704
11599  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #705
11600  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false,  2, 1, 21, 1,  0, 0}, // #706
11601  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
11602  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
11603  {DBGFIELD("ADDv1i64")           1, false, false,  2, 1, 21, 1,  0, 0}, // #709
11604  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #710
11605  {DBGFIELD("ANDSWri")            1, false, false,  7, 1,  2, 1, 18, 9}, // #711
11606  {DBGFIELD("ANDSWrr_ANDWrr")     1, false, false,  7, 1,  2, 1, 18, 18}, // #712
11607  {DBGFIELD("ANDSWrs_ANDWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #713
11608  {DBGFIELD("ANDWri")             1, false, false,  7, 1,  2, 1, 18, 9}, // #714
11609  {DBGFIELD("BICSWrr_BICWrr")     1, false, false,  7, 1,  2, 1, 18, 18}, // #715
11610  {DBGFIELD("BICSWrs_BICWrs")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #716
11611  {DBGFIELD("EONWrr")             1, false, false,  7, 1,  2, 1, 18, 18}, // #717
11612  {DBGFIELD("EONWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #718
11613  {DBGFIELD("EORWri")             1, false, false,  7, 1,  2, 1, 18, 9}, // #719
11614  {DBGFIELD("EORWrr")             1, false, false,  7, 1,  2, 1, 18, 18}, // #720
11615  {DBGFIELD("EORWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #721
11616  {DBGFIELD("ORNWrr")             1, false, false,  7, 1,  2, 1, 18, 18}, // #722
11617  {DBGFIELD("ORNWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #723
11618  {DBGFIELD("ORRWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #724
11619  {DBGFIELD("ORRWri")             1, false, false,  7, 1,  2, 1, 18, 9}, // #725
11620  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false,  7, 1,  2, 1, 18, 9}, // #726
11621  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #727
11622  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #728
11623  {DBGFIELD("CSELWr_CSELXr")      1, false, false,  7, 1,  2, 1, 18, 18}, // #729
11624  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false,  7, 1,  2, 1, 18, 18}, // #730
11625  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #731
11626  {DBGFIELD("FCMGEv2f32")         1, false, false,  2, 1, 21, 1,  0, 0}, // #732
11627  {DBGFIELD("FABDv2f32")          1, false, false,  2, 1, 21, 1,  0, 0}, // #733
11628  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #734
11629  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #735
11630  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #736
11631  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #737
11632  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #738
11633  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #739
11634  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #740
11635  {DBGFIELD("FMLSv4f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #741
11636  {DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 97, 1, 117, 1,  0, 0}, // #742
11637  {DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #743
11638  {DBGFIELD("FMOVXDHighr")        1, false, false,  2, 1, 21, 1,  0, 0}, // #744
11639  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #745
11640  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #746
11641  {DBGFIELD("FRSQRTEv1i32")       1, false, false, 106, 1,  9, 1,  0, 0}, // #747
11642  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 24, 1, 137, 1,  0, 0}, // #748
11643  {DBGFIELD("LDAXPW_LDAXPX")      2, false, false, 98, 1, 277, 2,  0, 0}, // #749
11644  {DBGFIELD("LSLVWr_LSLVXr")      1, false, false,  7, 1, 149, 1, 18, 9}, // #750
11645  {DBGFIELD("MRS")                1, false, false, 10, 1,  5, 1,  0, 0}, // #751
11646  {DBGFIELD("MSRpstateImm4")      1, false, false, 10, 1,  5, 1,  0, 0}, // #752
11647  {DBGFIELD("RBITWr_RBITXr")      1, false, false,  7, 1,  2, 1, 18, 9}, // #753
11648  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #754
11649  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #755
11650  {DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #756
11651  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #757
11652  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #758
11653  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #759
11654  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #760
11655  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #761
11656  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 10, 1,  5, 1,  0, 0}, // #762
11657  {DBGFIELD("ADDWrs_ADDXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #763
11658  {DBGFIELD("ANDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #764
11659  {DBGFIELD("ANDXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #765
11660  {DBGFIELD("BICWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #766
11661  {DBGFIELD("BICXrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #767
11662  {DBGFIELD("SUBWrs_SUBXrs")      16382, false, false,  0, 0,  0, 0,  0, 0}, // #768
11663  {DBGFIELD("ADDWri_ADDXri")      1, false, false,  7, 1,  2, 1, 18, 9}, // #769
11664  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #770
11665  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #771
11666  {DBGFIELD("PRFMroW")            1, false, false, 24, 1, 137, 1,  0, 0}, // #772
11667  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 1, false, false, 24, 1,  5, 1, 129, 1}, // #773
11668  {DBGFIELD("FABSDr_FABSSr")      1, false, false,  2, 1, 21, 1,  0, 0}, // #774
11669  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #775
11670  {DBGFIELD("FCVTZSh_FCVTZUh")    1, false, false,  2, 1, 21, 1,  0, 0}, // #776
11671  {DBGFIELD("FRECPEv1f16")        1, false, false,  2, 1, 21, 1,  0, 0}, // #777
11672  {DBGFIELD("FRSQRTEv1f16")       1, false, false,  2, 1, 21, 1,  0, 0}, // #778
11673  {DBGFIELD("FRECPXv1f16")        1, false, false,  2, 1, 21, 1,  0, 0}, // #779
11674  {DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #780
11675  {DBGFIELD("FMOVDXr")            1, false, false,  2, 1, 21, 1,  0, 0}, // #781
11676  {DBGFIELD("STRDroW_STRSroW")    1, false, false, 24, 1,  5, 1, 129, 1}, // #782
11677  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #783
11678  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #784
11679  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #785
11680  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #786
11681  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
11682  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #788
11683  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #789
11684  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false,  2, 1, 21, 1,  0, 0}, // #790
11685  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #791
11686  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #792
11687  {DBGFIELD("FABSv2f32")          1, false, false,  2, 1, 21, 1,  0, 0}, // #793
11688  {DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #794
11689  {DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #795
11690  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #796
11691  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #797
11692  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #798
11693  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #799
11694  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #800
11695  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #801
11696  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #802
11697  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #803
11698  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false,  2, 1, 21, 1,  0, 0}, // #804
11699  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #805
11700  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #806
11701  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #807
11702  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false,  2, 1, 21, 1,  0, 0}, // #808
11703  {DBGFIELD("FMLAv2f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #809
11704  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 97, 1, 117, 1,  0, 0}, // #810
11705  {DBGFIELD("FMLSv2f32")          1, false, false, 97, 1, 117, 1,  0, 0}, // #811
11706  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1,  0, 0}, // #812
11707  {DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #813
11708  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #814
11709  {DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #815
11710  {DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false,  2, 1, 21, 1,  0, 0}, // #816
11711  {DBGFIELD("FABSHr")             1, false, false,  2, 1, 21, 1,  0, 0}, // #817
11712  {DBGFIELD("FADDHrr_FSUBHrr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #818
11713  {DBGFIELD("FADDPv2i16p")        1, false, false,  2, 1, 21, 1,  0, 0}, // #819
11714  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #820
11715  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false,  2, 1, 21, 1,  0, 0}, // #821
11716  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #822
11717  {DBGFIELD("FDIVHrr")            1, false, false, 1071, 1, 302, 1,  0, 0}, // #823
11718  {DBGFIELD("FMULHrr_FNMULHrr")   1, false, false, 97, 1, 21, 1,  0, 0}, // #824
11719  {DBGFIELD("FMULX16")            1, false, false,  2, 1, 21, 1,  0, 0}, // #825
11720  {DBGFIELD("FNEGHr")             1, false, false,  2, 1, 21, 1,  0, 0}, // #826
11721  {DBGFIELD("FCSELHrrr")          1, false, false,  2, 1, 21, 1,  0, 0}, // #827
11722  {DBGFIELD("FSQRTHr")            1, false, false, 1071, 1, 302, 1,  0, 0}, // #828
11723  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false,  2, 1, 21, 1,  0, 0}, // #829
11724  {DBGFIELD("FMOVHi")             1, false, false,  2, 1, 21, 1,  0, 0}, // #830
11725  {DBGFIELD("FMOVHr")             1, false, false,  2, 1, 21, 1,  0, 0}, // #831
11726  {DBGFIELD("FMOVWHr_FMOVXHr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #832
11727  {DBGFIELD("FMOVHWr_FMOVHXr")    1, false, false,  2, 1, 21, 1,  0, 0}, // #833
11728  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
11729  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #835
11730  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #836
11731  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #837
11732  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #838
11733  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #839
11734  {DBGFIELD("FDIVv4f16")          1, false, false,  2, 1, 21, 1,  0, 0}, // #840
11735  {DBGFIELD("FDIVv8f16")          1, false, false,  2, 1, 21, 1,  0, 0}, // #841
11736  {DBGFIELD("FSQRTv4f16")         1, false, false,  2, 1, 21, 1,  0, 0}, // #842
11737  {DBGFIELD("FSQRTv8f16")         1, false, false,  2, 1, 21, 1,  0, 0}, // #843
11738  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #844
11739  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #845
11740  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false,  2, 1, 21, 1,  0, 0}, // #846
11741  {DBGFIELD("PMULLv1i64")         1, false, false,  2, 1, 21, 1,  0, 0}, // #847
11742  {DBGFIELD("PMULLv8i8")          1, false, false,  2, 1, 21, 1,  0, 0}, // #848
11743  {DBGFIELD("SHA256H2rrr")        1, false, false,  2, 1, 21, 1,  0, 0}, // #849
11744  {DBGFIELD("TBNZW_TBZW")         1, false, false, 10, 1,  5, 1,  0, 0}, // #850
11745  {DBGFIELD("ADCSWr_ADCWr")       1, false, false,  7, 1,  2, 1, 18, 18}, // #851
11746  {DBGFIELD("SBCSWr_SBCWr")       1, false, false,  7, 1,  2, 1, 18, 18}, // #852
11747  {DBGFIELD("ADDWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #853
11748  {DBGFIELD("SUBWrs")             16382, false, false,  0, 0,  0, 0,  0, 0}, // #854
11749  {DBGFIELD("ADDSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #855
11750  {DBGFIELD("SUBSWrs")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #856
11751  {DBGFIELD("ADDSWrx_ADDWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #857
11752  {DBGFIELD("SUBSWrx_SUBWrx")     16382, false, false,  0, 0,  0, 0,  0, 0}, // #858
11753  {DBGFIELD("ADDWri")             1, false, false,  7, 1,  2, 1, 18, 9}, // #859
11754  {DBGFIELD("CCMNWi_CCMPWi")      1, false, false,  7, 1,  2, 1, 18, 9}, // #860
11755  {DBGFIELD("CCMNWr_CCMPWr")      1, false, false,  7, 1,  2, 1, 18, 18}, // #861
11756  {DBGFIELD("CSELWr")             1, false, false,  7, 1,  2, 1, 18, 18}, // #862
11757  {DBGFIELD("CSINCWr_CSNEGWr")    1, false, false,  7, 1,  2, 1, 18, 18}, // #863
11758  {DBGFIELD("CSINVWr")            1, false, false,  7, 1,  2, 1, 18, 18}, // #864
11759  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false,  7, 1, 149, 1, 18, 9}, // #865
11760  {DBGFIELD("LSLVWr")             1, false, false,  7, 1, 149, 1, 18, 9}, // #866
11761  {DBGFIELD("BFMWri")             1, false, false,  7, 1, 149, 1, 18, 9}, // #867
11762  {DBGFIELD("SBFMWri_UBFMWri")    1, false, false,  7, 1, 149, 1, 18, 9}, // #868
11763  {DBGFIELD("CLSWr_CLZWr")        1, false, false,  7, 1,  2, 1, 18, 9}, // #869
11764  {DBGFIELD("RBITWr")             1, false, false,  7, 1,  2, 1, 18, 9}, // #870
11765  {DBGFIELD("REVWr_REV16Wr")      1, false, false,  7, 1,  2, 1, 18, 9}, // #871
11766  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #872
11767  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #873
11768  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #874
11769  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #875
11770  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #876
11771  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #877
11772  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #878
11773  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #879
11774  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #880
11775  {DBGFIELD("BRK")                1, false, false, 10, 1,  5, 1,  0, 0}, // #881
11776  {DBGFIELD("CBNZW_CBNZX")        1, false, false, 10, 1,  5, 1,  0, 0}, // #882
11777  {DBGFIELD("TBNZW")              1, false, false, 10, 1,  5, 1,  0, 0}, // #883
11778  {DBGFIELD("TBNZX")              1, false, false, 10, 1,  5, 1,  0, 0}, // #884
11779  {DBGFIELD("BR")                 1, false, false, 10, 1,  5, 1,  0, 0}, // #885
11780  {DBGFIELD("ADCWr")              1, false, false,  7, 1,  2, 1, 18, 18}, // #886
11781  {DBGFIELD("ADCXr")              1, false, false,  7, 1,  2, 1, 18, 18}, // #887
11782  {DBGFIELD("ASRVWr_RORVWr")      1, false, false,  7, 1, 149, 1, 18, 9}, // #888
11783  {DBGFIELD("ASRVXr_RORVXr")      1, false, false,  7, 1, 149, 1, 18, 9}, // #889
11784  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #890
11785  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #891
11786  {DBGFIELD("LDNPWi")             2, false, false, 98, 1, 277, 2,  0, 0}, // #892
11787  {DBGFIELD("LDPWi")              2, false, false, 98, 1, 277, 2,  0, 0}, // #893
11788  {DBGFIELD("LDRWl")              1, false, false, 24, 1, 137, 1,  0, 0}, // #894
11789  {DBGFIELD("LDTRBi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #895
11790  {DBGFIELD("LDTRHi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #896
11791  {DBGFIELD("LDTRWi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #897
11792  {DBGFIELD("LDTRSBWi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #898
11793  {DBGFIELD("LDTRSBXi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #899
11794  {DBGFIELD("LDTRSHWi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #900
11795  {DBGFIELD("LDTRSHXi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #901
11796  {DBGFIELD("LDPWpre")            3, false, false, 98, 1, 303, 3,  0, 0}, // #902
11797  {DBGFIELD("LDRWpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #903
11798  {DBGFIELD("LDRXpre")            2, false, false, 24, 1, 304, 2,  0, 0}, // #904
11799  {DBGFIELD("LDRSBWpre")          2, false, false, 24, 1, 304, 2,  0, 0}, // #905
11800  {DBGFIELD("LDRSBXpre")          2, false, false, 24, 1, 304, 2,  0, 0}, // #906
11801  {DBGFIELD("LDRSBWpost")         2, false, false, 24, 1, 304, 2,  0, 0}, // #907
11802  {DBGFIELD("LDRSBXpost")         2, false, false, 24, 1, 304, 2,  0, 0}, // #908
11803  {DBGFIELD("LDRSHWpre")          2, false, false, 24, 1, 304, 2,  0, 0}, // #909
11804  {DBGFIELD("LDRSHXpre")          2, false, false, 24, 1, 304, 2,  0, 0}, // #910
11805  {DBGFIELD("LDRSHWpost")         2, false, false, 24, 1, 304, 2,  0, 0}, // #911
11806  {DBGFIELD("LDRSHXpost")         2, false, false, 24, 1, 304, 2,  0, 0}, // #912
11807  {DBGFIELD("LDRBBpre")           2, false, false, 24, 1, 304, 2,  0, 0}, // #913
11808  {DBGFIELD("LDRBBpost")          2, false, false, 24, 1, 304, 2,  0, 0}, // #914
11809  {DBGFIELD("LDRHHpre")           2, false, false, 24, 1, 304, 2,  0, 0}, // #915
11810  {DBGFIELD("LDRHHpost")          2, false, false, 24, 1, 304, 2,  0, 0}, // #916
11811  {DBGFIELD("LDPWpost")           3, false, false, 98, 1, 303, 3,  0, 0}, // #917
11812  {DBGFIELD("LDPXpost")           3, false, false, 98, 1, 303, 3,  0, 0}, // #918
11813  {DBGFIELD("LDRWpost")           2, false, false, 24, 1, 304, 2,  0, 0}, // #919
11814  {DBGFIELD("LDRWroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #920
11815  {DBGFIELD("LDRXroW")            1, false, false, 24, 1, 137, 1, 129, 1}, // #921
11816  {DBGFIELD("LDRWroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #922
11817  {DBGFIELD("LDRXroX")            1, false, false, 24, 1, 137, 1, 129, 1}, // #923
11818  {DBGFIELD("LDURBBi")            1, false, false, 24, 1, 137, 1,  0, 0}, // #924
11819  {DBGFIELD("LDURHHi")            1, false, false, 24, 1, 137, 1,  0, 0}, // #925
11820  {DBGFIELD("LDURXi")             1, false, false, 24, 1, 137, 1,  0, 0}, // #926
11821  {DBGFIELD("LDURSBWi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #927
11822  {DBGFIELD("LDURSBXi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #928
11823  {DBGFIELD("LDURSHWi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #929
11824  {DBGFIELD("LDURSHXi")           1, false, false, 24, 1, 137, 1,  0, 0}, // #930
11825  {DBGFIELD("PRFMl")              1, false, false, 24, 1, 137, 1,  0, 0}, // #931
11826  {DBGFIELD("STURBi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #932
11827  {DBGFIELD("STURBBi")            1, false, false, 24, 1,  5, 1,  0, 0}, // #933
11828  {DBGFIELD("STURDi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #934
11829  {DBGFIELD("STURHi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #935
11830  {DBGFIELD("STURHHi")            1, false, false, 24, 1,  5, 1,  0, 0}, // #936
11831  {DBGFIELD("STURWi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #937
11832  {DBGFIELD("STTRBi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #938
11833  {DBGFIELD("STTRHi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #939
11834  {DBGFIELD("STTRWi")             1, false, false, 24, 1,  5, 1,  0, 0}, // #940
11835  {DBGFIELD("STRBui")             1, false, false, 24, 1,  5, 1,  0, 0}, // #941
11836  {DBGFIELD("STRDui")             1, false, false, 24, 1,  5, 1,  0, 0}, // #942
11837  {DBGFIELD("STRHui")             1, false, false, 24, 1,  5, 1,  0, 0}, // #943
11838  {DBGFIELD("STRXui")             1, false, false, 24, 1,  5, 1,  0, 0}, // #944
11839  {DBGFIELD("STRWui")             1, false, false, 24, 1,  5, 1,  0, 0}, // #945
11840  {DBGFIELD("STRBBroW")           1, false, false, 24, 1,  5, 1, 129, 1}, // #946
11841  {DBGFIELD("STRBBroX")           1, false, false, 24, 1,  5, 1, 129, 1}, // #947
11842  {DBGFIELD("STRDroW")            1, false, false, 24, 1,  5, 1, 129, 1}, // #948
11843  {DBGFIELD("STRDroX")            1, false, false, 24, 1,  5, 1, 129, 1}, // #949
11844  {DBGFIELD("STRWroW")            1, false, false, 24, 1,  5, 1, 129, 1}, // #950
11845  {DBGFIELD("STRWroX")            1, false, false, 24, 1,  5, 1, 129, 1}, // #951
11846  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #952
11847  {DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #953
11848  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #954
11849  {DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false,  2, 1, 21, 1,  0, 0}, // #955
11850  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #956
11851  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #957
11852  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false,  2, 1, 21, 1,  0, 0}, // #958
11853  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #959
11854  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false,  2, 1, 21, 1,  0, 0}, // #960
11855  {DBGFIELD("FCMGEv1i16rz")       1, false, false,  2, 1, 21, 1,  0, 0}, // #961
11856  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false,  2, 1, 21, 1,  0, 0}, // #962
11857  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false,  2, 1, 21, 1,  0, 0}, // #963
11858  {DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false,  2, 1, 21, 1,  0, 0}, // #964
11859  {DBGFIELD("CASB_CASH_CASW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #965
11860  {DBGFIELD("CASX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #966
11861  {DBGFIELD("CASAB_CASAH_CASAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #967
11862  {DBGFIELD("CASAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #968
11863  {DBGFIELD("CASLB_CASLH_CASLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #969
11864  {DBGFIELD("CASLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #970
11865  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 24, 1, 137, 1,  0, 0}, // #971
11866  {DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #972
11867  {DBGFIELD("LDADDX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #973
11868  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #974
11869  {DBGFIELD("LDADDAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #975
11870  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #976
11871  {DBGFIELD("LDADDLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #977
11872  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #978
11873  {DBGFIELD("LDADDALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #979
11874  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #980
11875  {DBGFIELD("LDCLRX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #981
11876  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #982
11877  {DBGFIELD("LDCLRAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #983
11878  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #984
11879  {DBGFIELD("LDCLRLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #985
11880  {DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #986
11881  {DBGFIELD("LDEORX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #987
11882  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #988
11883  {DBGFIELD("LDEORAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #989
11884  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #990
11885  {DBGFIELD("LDEORLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #991
11886  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #992
11887  {DBGFIELD("LDEORALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #993
11888  {DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #994
11889  {DBGFIELD("LDSETX")             16383, false, false,  0, 0,  0, 0,  0, 0}, // #995
11890  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #996
11891  {DBGFIELD("LDSETAX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #997
11892  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #998
11893  {DBGFIELD("LDSETLX")            16383, false, false,  0, 0,  0, 0,  0, 0}, // #999
11894  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1000
11895  {DBGFIELD("LDSETALX")           16383, false, false,  0, 0,  0, 0,  0, 0}, // #1001
11896  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1002
11897  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1003
11898  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1004
11899  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1005
11900  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1006
11901  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #1007
11902  {DBGFIELD("SWPB_SWPH_SWPW")     16383, false, false,  0, 0,  0, 0,  0, 0}, // #1008
11903  {DBGFIELD("SWPX")               16383, false, false,  0, 0,  0, 0,  0, 0}, // #1009
11904  {DBGFIELD("SWPAB_SWPAH_SWPAW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1010
11905  {DBGFIELD("SWPAX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1011
11906  {DBGFIELD("SWPLB_SWPLH_SWPLW")  16383, false, false,  0, 0,  0, 0,  0, 0}, // #1012
11907  {DBGFIELD("SWPLX")              16383, false, false,  0, 0,  0, 0,  0, 0}, // #1013
11908  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 24, 1,  5, 1,  0, 0}, // #1014
11909  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
11910  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
11911  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 1, false, false,  7, 1,  3, 1, 63, 18}, // #1017
11912  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 1, false, false,  7, 1,  3, 1, 18, 18}, // #1018
11913  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
11914  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
11915  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 1, false, false,  7, 1,  4, 1, 63, 18}, // #1021
11916  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 1, false, false,  7, 1,  4, 1, 18, 18}, // #1022
11917  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
11918  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
11919  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
11920  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
11921  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
11922  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
11923  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
11924  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
11925  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
11926  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
11927  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
11928  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
11929  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1035
11930  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1036
11931  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
11932  {DBGFIELD("WriteST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1038
11933  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
11934  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
11935  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
11936  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
11937  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
11938  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
11939  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
11940  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
11941  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
11942  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
11943  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
11944  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
11945  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
11946  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
11947  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
11948  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
11949  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
11950  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
11951  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
11952  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
11953  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
11954  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
11955  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
11956  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
11957  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
11958  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
11959  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
11960  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
11961  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
11962  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
11963  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
11964  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
11965  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
11966  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
11967  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
11968  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
11969  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
11970  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
11971  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
11972  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1078
11973  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1079
11974  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
11975  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
11976  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
11977  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
11978  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
11979  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
11980  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
11981  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1087
11982  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1088
11983  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
11984  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
11985  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
11986  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
11987  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
11988  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
11989  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
11990  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
11991  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
11992  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
11993  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
11994  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
11995  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
11996  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
11997  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
11998  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
11999  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
12000  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
12001  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
12002  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
12003  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
12004}; // ThunderXT8XModelSchedClasses
12005
12006// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
12007static const llvm::MCSchedClassDesc ThunderX2T99ModelSchedClasses[] = {
12008  {DBGFIELD("InvalidSchedClass")  16383, false, false,  0, 0,  0, 0,  0, 0},
12009  {DBGFIELD("WriteV")             4, false, false, 1076, 3, 31, 1,  0, 0}, // #1
12010  {DBGFIELD("WriteI_ReadI_ReadI") 2, false, false, 541, 2,  2, 1,  1, 2}, // #2
12011  {DBGFIELD("WriteI_ReadI")       2, false, false, 541, 2,  2, 1,  0, 1}, // #3
12012  {DBGFIELD("WriteISReg_ReadI_ReadISReg") 2, false, false, 1079, 2,  3, 1,  1, 2}, // #4
12013  {DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 2, false, false, 541, 2, 320, 1,  1, 2}, // #5
12014  {DBGFIELD("WriteAdr")           2, false, false, 541, 2,  5, 1,  0, 0}, // #6
12015  {DBGFIELD("WriteI")             2, false, false, 541, 2,  2, 1,  0, 0}, // #7
12016  {DBGFIELD("WriteIS_ReadI")      2, false, false, 541, 2,  6, 1,  0, 1}, // #8
12017  {DBGFIELD("WriteSys")           1, false, false,  0, 0,  5, 1,  0, 0}, // #9
12018  {DBGFIELD("WriteBr")            2, false, false, 1081, 3,  5, 1,  0, 0}, // #10
12019  {DBGFIELD("WriteBrReg")         2, false, false, 1081, 3,  5, 1,  0, 0}, // #11
12020  {DBGFIELD("WriteAtomic")        2, false, false,  0, 0,  8, 1,  0, 0}, // #12
12021  {DBGFIELD("WriteBarrier")       1, false, false,  0, 0,  5, 1,  0, 0}, // #13
12022  {DBGFIELD("WriteExtr_ReadExtrHi") 2, false, false, 541, 2,  5, 1,  0, 1}, // #14
12023  {DBGFIELD("WriteF")             2, false, false, 1084, 3, 10, 1,  0, 0}, // #15
12024  {DBGFIELD("WriteFCmp")          2, false, false, 1084, 3, 10, 1,  0, 0}, // #16
12025  {DBGFIELD("WriteFCvt")          3, false, false, 1084, 3, 31, 1,  0, 0}, // #17
12026  {DBGFIELD("WriteFDiv")          1, false, false, 1087, 3, 302, 1,  0, 0}, // #18
12027  {DBGFIELD("WriteFMul")          3, false, false, 1090, 3, 21, 1,  0, 0}, // #19
12028  {DBGFIELD("WriteFCopy")         2, false, false, 1084, 3,  8, 1,  0, 0}, // #20
12029  {DBGFIELD("WriteFImm")          2, false, false, 1084, 3,  8, 1,  0, 0}, // #21
12030  {DBGFIELD("WriteHint")          1, false, false,  0, 0,  5, 1,  0, 0}, // #22
12031  {DBGFIELD("WriteST")            2, false, false, 1093, 3,  5, 1,  0, 0}, // #23
12032  {DBGFIELD("WriteLD")            4, false, false, 912, 2,  8, 1,  0, 0}, // #24
12033  {DBGFIELD("WriteLD_WriteLDHi")  9, false, false, 912, 2, 321, 2,  0, 0}, // #25
12034  {DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 11, false, false, 1096, 3, 323, 3,  0, 0}, // #26
12035  {DBGFIELD("WriteLD_WriteAdr")   6, false, false, 1096, 3, 14, 2,  0, 0}, // #27
12036  {DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #28
12037  {DBGFIELD("WriteLDAdr")         6, false, false, 1096, 3, 10, 1,  0, 0}, // #29
12038  {DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 3, false, false, 541, 2, 287, 1,  4, 3}, // #30
12039  {DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 3, false, false, 541, 2, 17, 1,  4, 3}, // #31
12040  {DBGFIELD("WriteImm")           2, false, false, 541, 2, 18, 1,  0, 0}, // #32
12041  {DBGFIELD("WriteAdrAdr")        4, false, false, 1079, 2,  1, 1,  0, 0}, // #33
12042  {DBGFIELD("WriteID32_ReadID_ReadID") 4, false, false, 1099, 5, 326, 1,  1, 2}, // #34
12043  {DBGFIELD("WriteID64_ReadID_ReadID") 4, false, false, 1104, 5, 327, 1,  1, 2}, // #35
12044  {DBGFIELD("WriteIM64_ReadIM_ReadIM") 3, false, false, 541, 2, 17, 1,  1, 2}, // #36
12045  {DBGFIELD("WriteSTP")           2, false, false, 1093, 3,  5, 1,  0, 0}, // #37
12046  {DBGFIELD("WriteAdr_WriteSTP")  4, false, false, 1109, 4, 179, 2,  0, 0}, // #38
12047  {DBGFIELD("WriteAdr_WriteST")   4, false, false, 1109, 4, 179, 2,  0, 0}, // #39
12048  {DBGFIELD("WriteSTX")           6, false, false, 1113, 3, 10, 1,  0, 0}, // #40
12049  {DBGFIELD("WriteSTIdx_ReadAdrBase") 3, false, false, 1109, 4,  5, 1,  0, 1}, // #41
12050  {DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 10, false, false, 1116, 4, 290, 4,  0, 0}, // #42
12051  {DBGFIELD("COPY")               2, false, false, 541, 2,  2, 1,  0, 0}, // #43
12052  {DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #44
12053  {DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #45
12054  {DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 4, false, false, 912, 2,  8, 1,  0, 0}, // #46
12055  {DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 4, false, false, 912, 2,  8, 1,  0, 0}, // #47
12056  {DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 912, 2, 10, 1,  0, 0}, // #48
12057  {DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 3, false, false, 912, 2, 21, 1,  0, 0}, // #49
12058  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #50
12059  {DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #51
12060  {DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 6, false, false, 1096, 3, 14, 2,  0, 0}, // #52
12061  {DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 6, false, false, 1096, 3, 14, 2,  0, 0}, // #53
12062  {DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 5, false, false, 1096, 3, 39, 2,  0, 0}, // #54
12063  {DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 1096, 3, 21, 2,  0, 0}, // #55
12064  {DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #56
12065  {DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #57
12066  {DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #58
12067  {DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #59
12068  {DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #60
12069  {DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #61
12070  {DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #62
12071  {DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #63
12072  {DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 3, false, false, 1120, 4, 31, 1,  0, 0}, // #64
12073  {DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 1120, 4, 31, 1,  0, 0}, // #65
12074  {DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 1120, 4, 26, 1,  0, 0}, // #66
12075  {DBGFIELD("LD3Threev2d")        3, false, false, 1120, 4, 26, 1,  0, 0}, // #67
12076  {DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 5, false, false, 1124, 4, 37, 2,  0, 0}, // #68
12077  {DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 5, false, false, 1124, 4, 37, 2,  0, 0}, // #69
12078  {DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 5, false, false, 1124, 4, 111, 2,  0, 0}, // #70
12079  {DBGFIELD("LD3Threev2d_POST")   5, false, false, 1124, 4, 111, 2,  0, 0}, // #71
12080  {DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 3, false, false, 1120, 4, 21, 1,  0, 0}, // #72
12081  {DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 3, false, false, 1120, 4, 21, 1,  0, 0}, // #73
12082  {DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 3, false, false, 1120, 4, 26, 1,  0, 0}, // #74
12083  {DBGFIELD("LD4Fourv2d")         3, false, false, 1120, 4, 26, 1,  0, 0}, // #75
12084  {DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 5, false, false, 1124, 4, 21, 2,  0, 0}, // #76
12085  {DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 1124, 4, 21, 2,  0, 0}, // #77
12086  {DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 1124, 4, 111, 2,  0, 0}, // #78
12087  {DBGFIELD("LD4Fourv2d_POST")    5, false, false, 1124, 4, 111, 2,  0, 0}, // #79
12088  {DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #80
12089  {DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 912, 2,  0, 1,  0, 0}, // #81
12090  {DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 1, false, false, 912, 2,  0, 1,  0, 0}, // #82
12091  {DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 1, false, false, 912, 2,  0, 1,  0, 0}, // #83
12092  {DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 1, false, false, 912, 2,  0, 1,  0, 0}, // #84
12093  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #85
12094  {DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #86
12095  {DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #87
12096  {DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #88
12097  {DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #89
12098  {DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #90
12099  {DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #91
12100  {DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #92
12101  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #93
12102  {DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #94
12103  {DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #95
12104  {DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #96
12105  {DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #97
12106  {DBGFIELD("ST3Threev2d")        2, false, false, 1120, 4,  5, 1,  0, 0}, // #98
12107  {DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #99
12108  {DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #100
12109  {DBGFIELD("ST3Threev2d_POST")   4, false, false, 1124, 4, 179, 2,  0, 0}, // #101
12110  {DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #102
12111  {DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #103
12112  {DBGFIELD("ST4Fourv2d")         2, false, false, 1120, 4,  5, 1,  0, 0}, // #104
12113  {DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #105
12114  {DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #106
12115  {DBGFIELD("ST4Fourv2d_POST")    4, false, false, 1124, 4, 179, 2,  0, 0}, // #107
12116  {DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #108
12117  {DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #109
12118  {DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #110
12119  {DBGFIELD("FDIVSrr")            4, false, false, 1128, 3, 214, 1,  0, 0}, // #111
12120  {DBGFIELD("FDIVDrr")            4, false, false, 1131, 3, 328, 1,  0, 0}, // #112
12121  {DBGFIELD("FDIVv2f32_FDIVv4f32") 4, false, false, 1128, 3, 214, 1,  0, 0}, // #113
12122  {DBGFIELD("FDIVv2f64")          4, false, false, 1131, 3, 328, 1,  0, 0}, // #114
12123  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 4, false, false, 1128, 3, 214, 1,  0, 0}, // #115
12124  {DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 4, false, false, 1131, 3, 328, 1,  0, 0}, // #116
12125  {DBGFIELD("BL")                 2, false, false, 1081, 3,  5, 1,  0, 0}, // #117
12126  {DBGFIELD("BLR")                2, false, false, 1081, 3,  5, 1,  0, 0}, // #118
12127  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 2, false, false, 541, 2,  2, 1,  0, 0}, // #119
12128  {DBGFIELD("SMULHrr_UMULHrr")    2, false, false, 1134, 5,  8, 1,  0, 0}, // #120
12129  {DBGFIELD("EXTRWrri")           2, false, false, 541, 2,  5, 1,  0, 0}, // #121
12130  {DBGFIELD("EXTRXrri")           2, false, false, 541, 2,  5, 1,  0, 0}, // #122
12131  {DBGFIELD("BFMWri_BFMXri")      2, false, false, 541, 2,  5, 1,  0, 0}, // #123
12132  {DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 2, false, false, 1134, 5, 10, 1,  0, 0}, // #124
12133  {DBGFIELD("AESDrr_AESErr")      2, false, false, 1134, 5, 10, 1,  0, 0}, // #125
12134  {DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 2, false, false, 1134, 5, 10, 1,  0, 0}, // #126
12135  {DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 2, false, false, 1134, 5, 10, 1,  0, 0}, // #127
12136  {DBGFIELD("SHA1SU0rrr")         2, false, false, 1134, 5, 31, 1,  0, 0}, // #128
12137  {DBGFIELD("SHA1Hrr_SHA1SU1rr")  2, false, false, 1134, 5, 31, 1,  0, 0}, // #129
12138  {DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 2, false, false, 1134, 5, 31, 1,  0, 0}, // #130
12139  {DBGFIELD("SHA256SU0rr")        2, false, false, 1134, 5, 31, 1,  0, 0}, // #131
12140  {DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 2, false, false, 1134, 5, 31, 1,  0, 0}, // #132
12141  {DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 2, false, false, 1134, 5,  8, 1,  0, 0}, // #133
12142  {DBGFIELD("LD1i16_LD1i32_LD1i8") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #134
12143  {DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #135
12144  {DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #136
12145  {DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #137
12146  {DBGFIELD("LD1Rv1d")            3, false, false, 1120, 4, 10, 1,  0, 0}, // #138
12147  {DBGFIELD("LD1Rv1d_POST")       5, false, false, 1124, 4, 39, 2,  0, 0}, // #139
12148  {DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 4, false, false, 912, 2,  8, 1,  0, 0}, // #140
12149  {DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 6, false, false, 1096, 3, 14, 2,  0, 0}, // #141
12150  {DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 4, false, false, 912, 2,  8, 1,  0, 0}, // #142
12151  {DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 6, false, false, 1096, 3, 14, 2,  0, 0}, // #143
12152  {DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 912, 2, 10, 1,  0, 0}, // #144
12153  {DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 5, false, false, 1096, 3, 39, 2,  0, 0}, // #145
12154  {DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 3, false, false, 912, 2, 21, 1,  0, 0}, // #146
12155  {DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 1096, 3, 21, 2,  0, 0}, // #147
12156  {DBGFIELD("LD2i16_LD2i8")       3, false, false, 1120, 4, 10, 1,  0, 0}, // #148
12157  {DBGFIELD("LD2i16_POST_LD2i8_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #149
12158  {DBGFIELD("LD2i32")             3, false, false, 1120, 4, 10, 1,  0, 0}, // #150
12159  {DBGFIELD("LD2i32_POST")        5, false, false, 1124, 4, 39, 2,  0, 0}, // #151
12160  {DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #152
12161  {DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #153
12162  {DBGFIELD("LD2Rv1d")            3, false, false, 1120, 4, 10, 1,  0, 0}, // #154
12163  {DBGFIELD("LD2Rv1d_POST")       5, false, false, 1124, 4, 39, 2,  0, 0}, // #155
12164  {DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 3, false, false, 1120, 4, 10, 1,  0, 0}, // #156
12165  {DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 1124, 4, 39, 2,  0, 0}, // #157
12166  {DBGFIELD("LD3i16_LD3i8")       3, false, false, 1120, 4, 31, 1,  0, 0}, // #158
12167  {DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 1124, 4, 37, 2,  0, 0}, // #159
12168  {DBGFIELD("LD3i32")             3, false, false, 1120, 4, 31, 1,  0, 0}, // #160
12169  {DBGFIELD("LD3i32_POST")        5, false, false, 1124, 4, 37, 2,  0, 0}, // #161
12170  {DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 1120, 4, 31, 1,  0, 0}, // #162
12171  {DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 5, false, false, 1124, 4, 37, 2,  0, 0}, // #163
12172  {DBGFIELD("LD3Rv1d")            3, false, false, 1120, 4, 31, 1,  0, 0}, // #164
12173  {DBGFIELD("LD3Rv1d_POST")       5, false, false, 1124, 4, 37, 2,  0, 0}, // #165
12174  {DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 1120, 4, 31, 1,  0, 0}, // #166
12175  {DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 1124, 4, 37, 2,  0, 0}, // #167
12176  {DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 1120, 4, 26, 1,  0, 0}, // #168
12177  {DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 5, false, false, 1124, 4, 111, 2,  0, 0}, // #169
12178  {DBGFIELD("LD4i16_LD4i8")       3, false, false, 1120, 4, 21, 1,  0, 0}, // #170
12179  {DBGFIELD("LD4i16_POST_LD4i8_POST") 5, false, false, 1124, 4, 21, 2,  0, 0}, // #171
12180  {DBGFIELD("LD4i32")             3, false, false, 1120, 4, 21, 1,  0, 0}, // #172
12181  {DBGFIELD("LD4i32_POST")        5, false, false, 1124, 4, 21, 2,  0, 0}, // #173
12182  {DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 3, false, false, 1120, 4, 21, 1,  0, 0}, // #174
12183  {DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 1124, 4, 21, 2,  0, 0}, // #175
12184  {DBGFIELD("LD4Rv1d")            3, false, false, 1120, 4, 21, 1,  0, 0}, // #176
12185  {DBGFIELD("LD4Rv1d_POST")       5, false, false, 1124, 4, 21, 2,  0, 0}, // #177
12186  {DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 3, false, false, 1120, 4, 21, 1,  0, 0}, // #178
12187  {DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 1124, 4, 21, 2,  0, 0}, // #179
12188  {DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 3, false, false, 1120, 4, 26, 1,  0, 0}, // #180
12189  {DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 1124, 4, 111, 2,  0, 0}, // #181
12190  {DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #182
12191  {DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #183
12192  {DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 912, 2,  0, 1,  0, 0}, // #184
12193  {DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #185
12194  {DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 1, false, false, 912, 2,  0, 1,  0, 0}, // #186
12195  {DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #187
12196  {DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 1, false, false, 912, 2,  0, 1,  0, 0}, // #188
12197  {DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #189
12198  {DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 1, false, false, 912, 2,  0, 1,  0, 0}, // #190
12199  {DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 3, false, false, 1096, 3, 265, 2,  0, 0}, // #191
12200  {DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #192
12201  {DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #193
12202  {DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #194
12203  {DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #195
12204  {DBGFIELD("ST3i16_ST3i8")       2, false, false, 1120, 4,  5, 1,  0, 0}, // #196
12205  {DBGFIELD("ST3i16_POST_ST3i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #197
12206  {DBGFIELD("ST3i32")             2, false, false, 1120, 4,  5, 1,  0, 0}, // #198
12207  {DBGFIELD("ST3i32_POST")        4, false, false, 1124, 4, 179, 2,  0, 0}, // #199
12208  {DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #200
12209  {DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #201
12210  {DBGFIELD("ST4i16_ST4i8")       2, false, false, 1120, 4,  5, 1,  0, 0}, // #202
12211  {DBGFIELD("ST4i16_POST_ST4i8_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #203
12212  {DBGFIELD("ST4i32")             2, false, false, 1120, 4,  5, 1,  0, 0}, // #204
12213  {DBGFIELD("ST4i32_POST")        4, false, false, 1124, 4, 179, 2,  0, 0}, // #205
12214  {DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 2, false, false, 1120, 4,  5, 1,  0, 0}, // #206
12215  {DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 4, false, false, 1124, 4, 179, 2,  0, 0}, // #207
12216  {DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #208
12217  {DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #209
12218  {DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #210
12219  {DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #211
12220  {DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #212
12221  {DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #213
12222  {DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #214
12223  {DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #215
12224  {DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #216
12225  {DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #217
12226  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #218
12227  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #219
12228  {DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #220
12229  {DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #221
12230  {DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #222
12231  {DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #223
12232  {DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #224
12233  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #225
12234  {DBGFIELD("PMULLv16i8_PMULLv8i8") 2, false, false, 1134, 5, 10, 1,  0, 0}, // #226
12235  {DBGFIELD("PMULLv1i64_PMULLv2i64") 2, false, false, 1134, 5, 10, 1,  0, 0}, // #227
12236  {DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #228
12237  {DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #229
12238  {DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #230
12239  {DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #231
12240  {DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #232
12241  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #233
12242  {DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #234
12243  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #235
12244  {DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #236
12245  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #237
12246  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #238
12247  {DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #239
12248  {DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #240
12249  {DBGFIELD("FADDPv2f32_FADDPv2i32p") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #241
12250  {DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #242
12251  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #243
12252  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #244
12253  {DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #245
12254  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #246
12255  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #247
12256  {DBGFIELD("FDIVv2f32")          4, false, false, 1128, 3, 214, 1,  0, 0}, // #248
12257  {DBGFIELD("FSQRTv2f32")         4, false, false, 1128, 3, 214, 1,  0, 0}, // #249
12258  {DBGFIELD("FSQRTv4f32")         4, false, false, 1128, 3, 214, 1,  0, 0}, // #250
12259  {DBGFIELD("FSQRTv2f64")         4, false, false, 1131, 3, 328, 1,  0, 0}, // #251
12260  {DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #252
12261  {DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #253
12262  {DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #254
12263  {DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #255
12264  {DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #256
12265  {DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #257
12266  {DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #258
12267  {DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #259
12268  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #260
12269  {DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #261
12270  {DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #262
12271  {DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #263
12272  {DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #264
12273  {DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #265
12274  {DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #266
12275  {DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #267
12276  {DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #268
12277  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #269
12278  {DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 4, false, false, 1128, 3, 214, 1,  0, 0}, // #270
12279  {DBGFIELD("FRSQRTEv1i64")       4, false, false, 1131, 3, 328, 1,  0, 0}, // #271
12280  {DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #272
12281  {DBGFIELD("FRSQRTEv2f64")       4, false, false, 1131, 3, 328, 1,  0, 0}, // #273
12282  {DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 4, false, false, 1128, 3, 214, 1,  0, 0}, // #274
12283  {DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #275
12284  {DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 4, false, false, 1128, 3, 214, 1,  0, 0}, // #276
12285  {DBGFIELD("FRSQRTS64")          4, false, false, 1131, 3, 328, 1,  0, 0}, // #277
12286  {DBGFIELD("FRECPSv2f64_FRECPSv4f32") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #278
12287  {DBGFIELD("TBLv8i8One_TBXv8i8One") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #279
12288  {DBGFIELD("TBLv8i8Two_TBXv8i8Two") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #280
12289  {DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #281
12290  {DBGFIELD("TBLv8i8Four_TBXv8i8Four") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #282
12291  {DBGFIELD("TBLv16i8One_TBXv16i8One") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #283
12292  {DBGFIELD("TBLv16i8Two_TBXv16i8Two") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #284
12293  {DBGFIELD("TBLv16i8Three_TBXv16i8Three") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #285
12294  {DBGFIELD("TBLv16i8Four_TBXv16i8Four") 3, false, false, 1084, 3, 26, 1,  0, 0}, // #286
12295  {DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #287
12296  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #288
12297  {DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #289
12298  {DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #290
12299  {DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #291
12300  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #292
12301  {DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #293
12302  {DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #294
12303  {DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #295
12304  {DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #296
12305  {DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #297
12306  {DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #298
12307  {DBGFIELD("FSQRTDr")            4, false, false, 1131, 3, 328, 1,  0, 0}, // #299
12308  {DBGFIELD("FSQRTSr")            4, false, false, 1128, 3, 214, 1,  0, 0}, // #300
12309  {DBGFIELD("LDNPDi")             8, false, false, 1139, 3, 32, 2,  0, 0}, // #301
12310  {DBGFIELD("LDNPQi")             8, false, false, 1139, 3, 32, 2,  0, 0}, // #302
12311  {DBGFIELD("LDNPSi")             8, false, false, 1139, 3, 32, 2,  0, 0}, // #303
12312  {DBGFIELD("LDPDi")              8, false, false, 1139, 3, 32, 2,  0, 0}, // #304
12313  {DBGFIELD("LDPDpost")           10, false, false, 1139, 3, 43, 3,  0, 0}, // #305
12314  {DBGFIELD("LDPDpre")            10, false, false, 1139, 3, 43, 3,  0, 0}, // #306
12315  {DBGFIELD("LDPQi")              8, false, false, 1139, 3, 32, 2,  0, 0}, // #307
12316  {DBGFIELD("LDPQpost")           10, false, false, 1139, 3, 43, 3,  0, 0}, // #308
12317  {DBGFIELD("LDPQpre")            10, false, false, 1139, 3, 43, 3,  0, 0}, // #309
12318  {DBGFIELD("LDPSWi")             8, false, false, 1139, 3, 32, 2,  0, 0}, // #310
12319  {DBGFIELD("LDPSWpost")          11, false, false, 1096, 3, 323, 3,  0, 0}, // #311
12320  {DBGFIELD("LDPSWpre")           11, false, false, 1096, 3, 323, 3,  0, 0}, // #312
12321  {DBGFIELD("LDPSi")              8, false, false, 1139, 3, 32, 2,  0, 0}, // #313
12322  {DBGFIELD("LDPSpost")           10, false, false, 1139, 3, 43, 3,  0, 0}, // #314
12323  {DBGFIELD("LDPSpre")            10, false, false, 1139, 3, 43, 3,  0, 0}, // #315
12324  {DBGFIELD("LDRBpost")           5, false, false, 1139, 3, 329, 2,  0, 0}, // #316
12325  {DBGFIELD("LDRBpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #317
12326  {DBGFIELD("LDRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #318
12327  {DBGFIELD("LDRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #319
12328  {DBGFIELD("LDRBui")             4, false, false, 912, 2,  8, 1,  0, 0}, // #320
12329  {DBGFIELD("LDRDl")              4, false, false, 912, 2,  8, 1,  0, 0}, // #321
12330  {DBGFIELD("LDRDpost")           5, false, false, 1139, 3, 329, 2,  0, 0}, // #322
12331  {DBGFIELD("LDRDpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #323
12332  {DBGFIELD("LDRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #324
12333  {DBGFIELD("LDRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #325
12334  {DBGFIELD("LDRDui")             4, false, false, 912, 2,  8, 1,  0, 0}, // #326
12335  {DBGFIELD("LDRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #327
12336  {DBGFIELD("LDRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #328
12337  {DBGFIELD("LDRHpost")           5, false, false, 1139, 3, 329, 2,  0, 0}, // #329
12338  {DBGFIELD("LDRHpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #330
12339  {DBGFIELD("LDRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #331
12340  {DBGFIELD("LDRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #332
12341  {DBGFIELD("LDRHui")             4, false, false, 912, 2,  8, 1,  0, 0}, // #333
12342  {DBGFIELD("LDRQl")              4, false, false, 912, 2,  8, 1,  0, 0}, // #334
12343  {DBGFIELD("LDRQpost")           5, false, false, 1139, 3, 329, 2,  0, 0}, // #335
12344  {DBGFIELD("LDRQpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #336
12345  {DBGFIELD("LDRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #337
12346  {DBGFIELD("LDRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #338
12347  {DBGFIELD("LDRQui")             3, false, false, 912, 2, 10, 1,  0, 0}, // #339
12348  {DBGFIELD("LDRSHWroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #340
12349  {DBGFIELD("LDRSHWroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #341
12350  {DBGFIELD("LDRSHXroW")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #342
12351  {DBGFIELD("LDRSHXroX")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #343
12352  {DBGFIELD("LDRSl")              4, false, false, 912, 2,  8, 1,  0, 0}, // #344
12353  {DBGFIELD("LDRSpost")           5, false, false, 1139, 3, 329, 2,  0, 0}, // #345
12354  {DBGFIELD("LDRSpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #346
12355  {DBGFIELD("LDRSroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #347
12356  {DBGFIELD("LDRSroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #348
12357  {DBGFIELD("LDRSui")             3, false, false, 912, 2, 10, 1,  0, 0}, // #349
12358  {DBGFIELD("LDURBi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #350
12359  {DBGFIELD("LDURDi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #351
12360  {DBGFIELD("LDURHi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #352
12361  {DBGFIELD("LDURQi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #353
12362  {DBGFIELD("LDURSi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #354
12363  {DBGFIELD("STNPDi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #355
12364  {DBGFIELD("STNPQi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #356
12365  {DBGFIELD("STNPXi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #357
12366  {DBGFIELD("STPDi")              1, false, false, 912, 2,  0, 1,  0, 0}, // #358
12367  {DBGFIELD("STPDpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #359
12368  {DBGFIELD("STPDpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #360
12369  {DBGFIELD("STPQi")              1, false, false, 912, 2,  0, 1,  0, 0}, // #361
12370  {DBGFIELD("STPQpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #362
12371  {DBGFIELD("STPQpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #363
12372  {DBGFIELD("STPSpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #364
12373  {DBGFIELD("STPSpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #365
12374  {DBGFIELD("STPWpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #366
12375  {DBGFIELD("STPWpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #367
12376  {DBGFIELD("STPXi")              1, false, false, 912, 2,  0, 1,  0, 0}, // #368
12377  {DBGFIELD("STPXpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #369
12378  {DBGFIELD("STPXpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #370
12379  {DBGFIELD("STRBBpost")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #371
12380  {DBGFIELD("STRBBpre")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #372
12381  {DBGFIELD("STRBpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #373
12382  {DBGFIELD("STRBpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #374
12383  {DBGFIELD("STRBroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #375
12384  {DBGFIELD("STRBroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #376
12385  {DBGFIELD("STRDpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #377
12386  {DBGFIELD("STRDpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #378
12387  {DBGFIELD("STRHHpost")          16382, false, false,  0, 0,  0, 0,  0, 0}, // #379
12388  {DBGFIELD("STRHHpre")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #380
12389  {DBGFIELD("STRHHroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #381
12390  {DBGFIELD("STRHHroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #382
12391  {DBGFIELD("STRHpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #383
12392  {DBGFIELD("STRHpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #384
12393  {DBGFIELD("STRHroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #385
12394  {DBGFIELD("STRHroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #386
12395  {DBGFIELD("STRQpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #387
12396  {DBGFIELD("STRQpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #388
12397  {DBGFIELD("STRQroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #389
12398  {DBGFIELD("STRQroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #390
12399  {DBGFIELD("STRQui")             3, false, false, 1139, 3,  0, 1,  0, 0}, // #391
12400  {DBGFIELD("STRSpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #392
12401  {DBGFIELD("STRSpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #393
12402  {DBGFIELD("STRWpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #394
12403  {DBGFIELD("STRWpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #395
12404  {DBGFIELD("STRXpost")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #396
12405  {DBGFIELD("STRXpre")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #397
12406  {DBGFIELD("STURQi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #398
12407  {DBGFIELD("MOVZWi_MOVZXi")      2, false, false, 541, 2,  5, 1,  0, 0}, // #399
12408  {DBGFIELD("ANDWri_ANDXri")      2, false, false, 541, 2,  2, 1,  0, 0}, // #400
12409  {DBGFIELD("ORRXrr_ADDXrr")      2, false, false, 541, 2,  2, 1,  0, 0}, // #401
12410  {DBGFIELD("ISB")                1, false, false,  0, 0,  5, 1,  0, 0}, // #402
12411  {DBGFIELD("ORRv16i8")           2, false, false, 1084, 3, 10, 1,  0, 0}, // #403
12412  {DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 2, false, false, 1084, 3,  8, 1,  0, 0}, // #404
12413  {DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #405
12414  {DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #406
12415  {DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #407
12416  {DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #408
12417  {DBGFIELD("ADDVv16i8v")         3, false, false, 1084, 3, 117, 1,  0, 0}, // #409
12418  {DBGFIELD("ADDVv4i16v_ADDVv8i8v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #410
12419  {DBGFIELD("ADDVv4i32v_ADDVv8i16v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #411
12420  {DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #412
12421  {DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #413
12422  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #414
12423  {DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #415
12424  {DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #416
12425  {DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #417
12426  {DBGFIELD("FADDPv2i32p")        3, false, false, 1084, 3, 21, 1,  0, 0}, // #418
12427  {DBGFIELD("FADDPv2i64p")        3, false, false, 1084, 3, 21, 1,  0, 0}, // #419
12428  {DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #420
12429  {DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #421
12430  {DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #422
12431  {DBGFIELD("FADDSrr_FSUBSrr")    3, false, false, 1084, 3, 21, 1,  0, 0}, // #423
12432  {DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #424
12433  {DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #425
12434  {DBGFIELD("FADDPv4f32")         3, false, false, 1084, 3, 21, 1,  0, 0}, // #426
12435  {DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #427
12436  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #428
12437  {DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #429
12438  {DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #430
12439  {DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #431
12440  {DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #432
12441  {DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #433
12442  {DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #434
12443  {DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #435
12444  {DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #436
12445  {DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #437
12446  {DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #438
12447  {DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #439
12448  {DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #440
12449  {DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #441
12450  {DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #442
12451  {DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #443
12452  {DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #444
12453  {DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #445
12454  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #446
12455  {DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #447
12456  {DBGFIELD("FMULDrr_FNMULDrr")   3, false, false, 1090, 3, 21, 1,  0, 0}, // #448
12457  {DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #449
12458  {DBGFIELD("FMULX64")            3, false, false, 1090, 3, 21, 1,  0, 0}, // #450
12459  {DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #451
12460  {DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #452
12461  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #453
12462  {DBGFIELD("FMLAv4f32")          3, false, false, 1084, 3, 21, 1,  0, 0}, // #454
12463  {DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #455
12464  {DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #456
12465  {DBGFIELD("URSQRTEv2i32")       4, false, false, 1128, 3, 214, 1,  0, 0}, // #457
12466  {DBGFIELD("URSQRTEv4i32")       4, false, false, 1128, 3, 214, 1,  0, 0}, // #458
12467  {DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #459
12468  {DBGFIELD("FRECPSv2f32")        3, false, false, 1084, 3, 21, 1,  0, 0}, // #460
12469  {DBGFIELD("FRECPSv4f16_FRECPSv8f16") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #461
12470  {DBGFIELD("FRSQRTSv2f32")       4, false, false, 1128, 3, 214, 1,  0, 0}, // #462
12471  {DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #463
12472  {DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #464
12473  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #465
12474  {DBGFIELD("AESIMCrr_AESMCrr")   2, false, false, 1134, 5, 10, 1,  0, 0}, // #466
12475  {DBGFIELD("SHA256SU1rrr")       2, false, false, 1134, 5, 31, 1,  0, 0}, // #467
12476  {DBGFIELD("FABSv2f32_FNEGv2f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #468
12477  {DBGFIELD("FACGEv2f32_FACGTv2f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #469
12478  {DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #470
12479  {DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #471
12480  {DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #472
12481  {DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #473
12482  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #474
12483  {DBGFIELD("FCVTXNv1i64")        3, false, false, 1084, 3, 31, 1,  0, 0}, // #475
12484  {DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #476
12485  {DBGFIELD("FMULX32")            3, false, false, 1090, 3, 21, 1,  0, 0}, // #477
12486  {DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #478
12487  {DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #479
12488  {DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #480
12489  {DBGFIELD("FCVTLv4i16_FCVTLv2i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #481
12490  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #482
12491  {DBGFIELD("FCVTLv8i16_FCVTLv4i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #483
12492  {DBGFIELD("FMULXv2f64_FMULv2f64") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #484
12493  {DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #485
12494  {DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #486
12495  {DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #487
12496  {DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #488
12497  {DBGFIELD("ADDPv2i64p")         3, false, false, 1084, 3, 31, 1,  0, 0}, // #489
12498  {DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #490
12499  {DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #491
12500  {DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #492
12501  {DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #493
12502  {DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #494
12503  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #495
12504  {DBGFIELD("SSHLv1i64_USHLv1i64") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #496
12505  {DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #497
12506  {DBGFIELD("SSHRd_USHRd")        4, false, false, 1076, 3, 31, 1,  0, 0}, // #498
12507  {DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #499
12508  {DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #500
12509  {DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #501
12510  {DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #502
12511  {DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #503
12512  {DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #504
12513  {DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #505
12514  {DBGFIELD("SHLd")               4, false, false, 1076, 3, 31, 1,  0, 0}, // #506
12515  {DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #507
12516  {DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #508
12517  {DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #509
12518  {DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #510
12519  {DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #511
12520  {DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #512
12521  {DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #513
12522  {DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #514
12523  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #515
12524  {DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #516
12525  {DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #517
12526  {DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #518
12527  {DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #519
12528  {DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #520
12529  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #521
12530  {DBGFIELD("ADDVv4i16v")         3, false, false, 1084, 3, 117, 1,  0, 0}, // #522
12531  {DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #523
12532  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #524
12533  {DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #525
12534  {DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #526
12535  {DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #527
12536  {DBGFIELD("ADDVv4i32v")         3, false, false, 1084, 3, 117, 1,  0, 0}, // #528
12537  {DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #529
12538  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #530
12539  {DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #531
12540  {DBGFIELD("ADDPv2i64")          3, false, false, 1084, 3, 31, 1,  0, 0}, // #532
12541  {DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #533
12542  {DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #534
12543  {DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #535
12544  {DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #536
12545  {DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #537
12546  {DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #538
12547  {DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #539
12548  {DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #540
12549  {DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #541
12550  {DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #542
12551  {DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #543
12552  {DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #544
12553  {DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #545
12554  {DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #546
12555  {DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #547
12556  {DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #548
12557  {DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #549
12558  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #550
12559  {DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #551
12560  {DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 3, false, false, 1084, 3, 117, 1,  0, 0}, // #552
12561  {DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #553
12562  {DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #554
12563  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #555
12564  {DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 2, false, false, 541, 2,  2, 1,  0, 0}, // #556
12565  {DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #557
12566  {DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 2, false, false, 541, 2,  2, 1,  1, 2}, // #558
12567  {DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 2, false, false, 541, 2,  2, 1,  0, 0}, // #559
12568  {DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #560
12569  {DBGFIELD("ADDXrr")             2, false, false, 541, 2,  2, 1,  0, 0}, // #561
12570  {DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #562
12571  {DBGFIELD("ANDSWri_ANDSXri")    2, false, false, 541, 2,  2, 1,  0, 0}, // #563
12572  {DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #564
12573  {DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 2, false, false, 541, 2,  2, 1,  0, 0}, // #565
12574  {DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #566
12575  {DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 2, false, false, 541, 2,  2, 1,  0, 0}, // #567
12576  {DBGFIELD("EONWrr_EONXrr")      2, false, false, 541, 2,  2, 1,  0, 0}, // #568
12577  {DBGFIELD("EONWrs_EONXrs")      2, false, false, 541, 2,  2, 1,  0, 0}, // #569
12578  {DBGFIELD("EORWri_EORXri")      2, false, false, 1084, 3, 10, 1,  0, 0}, // #570
12579  {DBGFIELD("EORWrr_EORXrr")      2, false, false, 1084, 3, 10, 1,  0, 0}, // #571
12580  {DBGFIELD("EORWrs_EORXrs")      2, false, false, 1084, 3, 10, 1,  0, 0}, // #572
12581  {DBGFIELD("ORNWrr_ORNXrr")      2, false, false, 541, 2,  2, 1,  0, 0}, // #573
12582  {DBGFIELD("ORNWrs_ORNXrs")      2, false, false, 541, 2,  2, 1,  0, 0}, // #574
12583  {DBGFIELD("ORRWri_ORRXri")      2, false, false, 541, 2,  2, 1,  0, 0}, // #575
12584  {DBGFIELD("ORRWrr")             2, false, false, 541, 2,  2, 1,  0, 0}, // #576
12585  {DBGFIELD("ORRWrs_ORRXrs")      2, false, false, 541, 2,  2, 1,  0, 0}, // #577
12586  {DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #578
12587  {DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 2, false, false, 541, 2,  2, 1,  0, 0}, // #579
12588  {DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #580
12589  {DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 2, false, false, 541, 2,  2, 1,  0, 0}, // #581
12590  {DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 2, false, false, 541, 2,  2, 1,  0, 0}, // #582
12591  {DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 2, false, false, 541, 2,  2, 1,  0, 0}, // #583
12592  {DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #584
12593  {DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #585
12594  {DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #586
12595  {DBGFIELD("DUPv16i8lane_DUPv8i16lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #587
12596  {DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #588
12597  {DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #589
12598  {DBGFIELD("EXTv8i8")            2, false, false, 1084, 3, 10, 1,  0, 0}, // #590
12599  {DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #591
12600  {DBGFIELD("TBLv8i8One")         3, false, false, 1084, 3, 26, 1,  0, 0}, // #592
12601  {DBGFIELD("NOTv8i8")            2, false, false, 1084, 3, 10, 1,  0, 0}, // #593
12602  {DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #594
12603  {DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #595
12604  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #596
12605  {DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #597
12606  {DBGFIELD("FRECPXv1i32_FRECPXv1i64") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #598
12607  {DBGFIELD("FRECPS32")           4, false, false, 1076, 3, 31, 1,  0, 0}, // #599
12608  {DBGFIELD("EXTv16i8")           2, false, false, 1084, 3, 10, 1,  0, 0}, // #600
12609  {DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #601
12610  {DBGFIELD("NOTv16i8")           2, false, false, 1084, 3, 10, 1,  0, 0}, // #602
12611  {DBGFIELD("TBLv16i8One")        3, false, false, 1084, 3, 26, 1,  0, 0}, // #603
12612  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #604
12613  {DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #605
12614  {DBGFIELD("TBLv8i8Two")         3, false, false, 1084, 3, 26, 1,  0, 0}, // #606
12615  {DBGFIELD("FRECPSv4f32")        3, false, false, 1084, 3, 21, 1,  0, 0}, // #607
12616  {DBGFIELD("TBLv16i8Two")        3, false, false, 1084, 3, 26, 1,  0, 0}, // #608
12617  {DBGFIELD("TBLv8i8Three")       3, false, false, 1084, 3, 26, 1,  0, 0}, // #609
12618  {DBGFIELD("TBLv16i8Three")      3, false, false, 1084, 3, 26, 1,  0, 0}, // #610
12619  {DBGFIELD("TBLv8i8Four")        3, false, false, 1084, 3, 26, 1,  0, 0}, // #611
12620  {DBGFIELD("TBLv16i8Four")       3, false, false, 1084, 3, 26, 1,  0, 0}, // #612
12621  {DBGFIELD("STRBui_STRDui_STRHui_STRSui") 2, false, false, 1093, 3,  5, 1,  0, 0}, // #613
12622  {DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #614
12623  {DBGFIELD("STPSi")              2, false, false, 1093, 3,  5, 1,  0, 0}, // #615
12624  {DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 912, 2,  0, 1,  0, 0}, // #616
12625  {DBGFIELD("STNPSi")             2, false, false, 1093, 3,  5, 1,  0, 0}, // #617
12626  {DBGFIELD("B")                  2, false, false, 1081, 3,  5, 1,  0, 0}, // #618
12627  {DBGFIELD("TCRETURNdi")         2, false, false, 1081, 3,  5, 1,  0, 0}, // #619
12628  {DBGFIELD("BR_RET")             2, false, false, 1081, 3,  5, 1,  0, 0}, // #620
12629  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 1081, 3,  5, 1,  0, 0}, // #621
12630  {DBGFIELD("RET_ReallyLR_TCRETURNri") 2, false, false, 1081, 3,  5, 1,  0, 0}, // #622
12631  {DBGFIELD("Bcc")                2, false, false, 1081, 3,  5, 1,  0, 0}, // #623
12632  {DBGFIELD("SHA1Hrr")            2, false, false, 1134, 5, 31, 1,  0, 0}, // #624
12633  {DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #625
12634  {DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #626
12635  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #627
12636  {DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #628
12637  {DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 1084, 3,  8, 1,  0, 0}, // #629
12638  {DBGFIELD("FCVTSHr_FCVTDHr")    3, false, false, 1084, 3, 31, 1,  0, 0}, // #630
12639  {DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #631
12640  {DBGFIELD("FCVTHSr_FCVTHDr")    3, false, false, 1084, 3, 31, 1,  0, 0}, // #632
12641  {DBGFIELD("FCVTSDr")            3, false, false, 1084, 3, 31, 1,  0, 0}, // #633
12642  {DBGFIELD("FMULSrr_FNMULSrr")   3, false, false, 1090, 3, 21, 1,  0, 0}, // #634
12643  {DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 2, false, false, 1084, 3,  8, 1,  0, 0}, // #635
12644  {DBGFIELD("FMOVDi_FMOVSi")      2, false, false, 1084, 3,  8, 1,  0, 0}, // #636
12645  {DBGFIELD("FMOVDr_FMOVSr")      2, false, false, 1084, 3, 10, 1,  0, 0}, // #637
12646  {DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #638
12647  {DBGFIELD("FMOVD0_FMOVS0")      2, false, false, 1084, 3, 10, 1,  0, 0}, // #639
12648  {DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #640
12649  {DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #641
12650  {DBGFIELD("PRFMui_PRFMl")       4, false, false, 1096, 3, 21, 1,  0, 0}, // #642
12651  {DBGFIELD("PRFUMi")             4, false, false, 1096, 3, 21, 1,  0, 0}, // #643
12652  {DBGFIELD("LDNPWi_LDNPXi")      8, false, false, 1139, 3, 32, 2,  0, 0}, // #644
12653  {DBGFIELD("LDPWi_LDPXi")        8, false, false, 1139, 3, 32, 2,  0, 0}, // #645
12654  {DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 10, false, false, 1142, 3, 43, 3,  0, 0}, // #646
12655  {DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 4, false, false, 912, 2,  8, 1,  0, 0}, // #647
12656  {DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 5, false, false, 1139, 3, 329, 2,  0, 0}, // #648
12657  {DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #649
12658  {DBGFIELD("LDRWl_LDRXl")        4, false, false, 912, 2,  8, 1,  0, 0}, // #650
12659  {DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 4, false, false, 912, 2,  8, 1,  0, 0}, // #651
12660  {DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 4, false, false, 912, 2,  8, 1,  0, 0}, // #652
12661  {DBGFIELD("PRFMroW_PRFMroX")    4, false, false, 1096, 3, 21, 1,  0, 0}, // #653
12662  {DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 4, false, false, 912, 2,  8, 1,  0, 0}, // #654
12663  {DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 6, false, false, 1096, 3, 14, 2,  0, 0}, // #655
12664  {DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #656
12665  {DBGFIELD("LDRSWl")             4, false, false, 912, 2,  8, 1,  0, 0}, // #657
12666  {DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 4, false, false, 912, 2,  8, 1,  0, 0}, // #658
12667  {DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 4, false, false, 912, 2,  8, 1,  0, 0}, // #659
12668  {DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 2, false, false, 541, 2,  5, 1,  0, 0}, // #660
12669  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 2, false, false, 541, 2,  2, 1,  0, 1}, // #661
12670  {DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 3, false, false, 541, 2,  1, 1,  0, 0}, // #662
12671  {DBGFIELD("MADDWrrr_MSUBWrrr")  3, false, false, 541, 2, 287, 1,  0, 0}, // #663
12672  {DBGFIELD("MADDXrrr_MSUBXrrr")  3, false, false, 541, 2, 287, 1,  0, 0}, // #664
12673  {DBGFIELD("SDIVWr_UDIVWr")      4, false, false, 1099, 5, 326, 1,  0, 0}, // #665
12674  {DBGFIELD("SDIVXr_UDIVXr")      4, false, false, 1104, 5, 327, 1,  0, 0}, // #666
12675  {DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 2, false, false, 541, 2,  6, 1,  0, 1}, // #667
12676  {DBGFIELD("MOVKWi_MOVKXi")      2, false, false, 541, 2,  5, 1,  0, 0}, // #668
12677  {DBGFIELD("ADR_ADRP")           2, false, false, 541, 2,  2, 1,  0, 0}, // #669
12678  {DBGFIELD("MOVNWi_MOVNXi")      2, false, false, 541, 2,  5, 1,  0, 0}, // #670
12679  {DBGFIELD("MOVi32imm_MOVi64imm") 2, false, false, 541, 2, 18, 1,  0, 0}, // #671
12680  {DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 4, false, false, 1079, 2,  1, 1,  0, 0}, // #672
12681  {DBGFIELD("LOADgot")            6, false, false, 1096, 3, 10, 1,  0, 0}, // #673
12682  {DBGFIELD("CLREX_DMB_DSB")      1, false, false,  0, 0,  5, 1,  0, 0}, // #674
12683  {DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false,  0, 0,  5, 1,  0, 0}, // #675
12684  {DBGFIELD("HINT")               1, false, false,  0, 0,  5, 1,  0, 0}, // #676
12685  {DBGFIELD("SYSxt_SYSLxt")       1, false, false,  0, 0,  5, 1,  0, 0}, // #677
12686  {DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false,  0, 0,  5, 1,  0, 0}, // #678
12687  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 4, false, false, 912, 2,  8, 1,  0, 0}, // #679
12688  {DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 9, false, false, 912, 2, 321, 2,  0, 0}, // #680
12689  {DBGFIELD("MRS_MOVbaseTLS")     1, false, false,  0, 0,  5, 1,  0, 0}, // #681
12690  {DBGFIELD("DRPS")               2, false, false, 1081, 3,  5, 1,  0, 0}, // #682
12691  {DBGFIELD("MSR")                1, false, false,  0, 0,  5, 1,  0, 0}, // #683
12692  {DBGFIELD("STNPWi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #684
12693  {DBGFIELD("ERET")               2, false, false, 1081, 3,  5, 1,  0, 0}, // #685
12694  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #686
12695  {DBGFIELD("STLRB_STLRH_STLRW_STLRX") 2, false, false, 1093, 3,  5, 1,  0, 0}, // #687
12696  {DBGFIELD("STXPW_STXPX")        6, false, false, 1113, 3, 10, 1,  0, 0}, // #688
12697  {DBGFIELD("STXRB_STXRH_STXRW_STXRX") 6, false, false, 1113, 3, 10, 1,  0, 0}, // #689
12698  {DBGFIELD("STLXPW_STLXPX")      6, false, false, 1113, 3, 10, 1,  0, 0}, // #690
12699  {DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 6, false, false, 1113, 3, 10, 1,  0, 0}, // #691
12700  {DBGFIELD("STPWi")              1, false, false, 912, 2,  0, 1,  0, 0}, // #692
12701  {DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 2, false, false, 1093, 3,  5, 1,  0, 0}, // #693
12702  {DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #694
12703  {DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 3, false, false, 1096, 3, 266, 2,  0, 0}, // #695
12704  {DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 912, 2,  0, 1,  0, 0}, // #696
12705  {DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #697
12706  {DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #698
12707  {DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #699
12708  {DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #700
12709  {DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #701
12710  {DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #702
12711  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #703
12712  {DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #704
12713  {DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #705
12714  {DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #706
12715  {DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #707
12716  {DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #708
12717  {DBGFIELD("ADDv1i64")           3, false, false, 1084, 3, 31, 1,  0, 0}, // #709
12718  {DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #710
12719  {DBGFIELD("ANDSWri")            2, false, false, 541, 2,  2, 1,  0, 0}, // #711
12720  {DBGFIELD("ANDSWrr_ANDWrr")     2, false, false, 541, 2,  2, 1,  0, 0}, // #712
12721  {DBGFIELD("ANDSWrs_ANDWrs")     2, false, false, 541, 2,  2, 1,  0, 0}, // #713
12722  {DBGFIELD("ANDWri")             2, false, false, 541, 2,  2, 1,  0, 0}, // #714
12723  {DBGFIELD("BICSWrr_BICWrr")     2, false, false, 541, 2,  2, 1,  0, 0}, // #715
12724  {DBGFIELD("BICSWrs_BICWrs")     2, false, false, 541, 2,  2, 1,  0, 0}, // #716
12725  {DBGFIELD("EONWrr")             2, false, false, 541, 2,  2, 1,  0, 0}, // #717
12726  {DBGFIELD("EONWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #718
12727  {DBGFIELD("EORWri")             2, false, false, 541, 2,  2, 1,  0, 1}, // #719
12728  {DBGFIELD("EORWrr")             2, false, false, 541, 2,  2, 1,  1, 2}, // #720
12729  {DBGFIELD("EORWrs")             2, false, false, 1079, 2,  3, 1,  1, 2}, // #721
12730  {DBGFIELD("ORNWrr")             2, false, false, 541, 2,  2, 1,  0, 0}, // #722
12731  {DBGFIELD("ORNWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #723
12732  {DBGFIELD("ORRWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #724
12733  {DBGFIELD("ORRWri")             2, false, false, 541, 2,  2, 1,  0, 0}, // #725
12734  {DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 2, false, false, 1134, 5, 137, 1,  0, 0}, // #726
12735  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #727
12736  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #728
12737  {DBGFIELD("CSELWr_CSELXr")      2, false, false, 541, 2,  2, 1,  0, 0}, // #729
12738  {DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 2, false, false, 541, 2,  2, 1,  0, 0}, // #730
12739  {DBGFIELD("FCMEQv2f32_FCMGTv2f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #731
12740  {DBGFIELD("FCMGEv2f32")         2, false, false, 1084, 3, 10, 1,  0, 0}, // #732
12741  {DBGFIELD("FABDv2f32")          3, false, false, 1084, 3, 21, 1,  0, 0}, // #733
12742  {DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #734
12743  {DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #735
12744  {DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #736
12745  {DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #737
12746  {DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #738
12747  {DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #739
12748  {DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #740
12749  {DBGFIELD("FMLSv4f32")          3, false, false, 1084, 3, 21, 1,  0, 0}, // #741
12750  {DBGFIELD("FMLAv2f64_FMLSv2f64") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #742
12751  {DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #743
12752  {DBGFIELD("FMOVXDHighr")        2, false, false, 1084, 3, 10, 1,  0, 0}, // #744
12753  {DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #745
12754  {DBGFIELD("FRECPEv1i32_FRECPEv1i64") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #746
12755  {DBGFIELD("FRSQRTEv1i32")       4, false, false, 1128, 3, 214, 1,  0, 0}, // #747
12756  {DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 4, false, false, 912, 2,  8, 1,  0, 0}, // #748
12757  {DBGFIELD("LDAXPW_LDAXPX")      9, false, false, 912, 2, 321, 2,  0, 0}, // #749
12758  {DBGFIELD("LSLVWr_LSLVXr")      2, false, false, 541, 2,  5, 1,  0, 0}, // #750
12759  {DBGFIELD("MRS")                1, false, false,  0, 0,  5, 1,  0, 0}, // #751
12760  {DBGFIELD("MSRpstateImm4")      1, false, false,  0, 0,  5, 1,  0, 0}, // #752
12761  {DBGFIELD("RBITWr_RBITXr")      2, false, false, 541, 2,  5, 1,  0, 0}, // #753
12762  {DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #754
12763  {DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #755
12764  {DBGFIELD("TRN1v2i64_TRN2v2i64") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #756
12765  {DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #757
12766  {DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #758
12767  {DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #759
12768  {DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #760
12769  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #761
12770  {DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 2, false, false, 1081, 3,  5, 1,  0, 0}, // #762
12771  {DBGFIELD("ADDWrs_ADDXrs")      2, false, false, 541, 2,  2, 1,  0, 0}, // #763
12772  {DBGFIELD("ANDWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #764
12773  {DBGFIELD("ANDXrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #765
12774  {DBGFIELD("BICWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #766
12775  {DBGFIELD("BICXrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #767
12776  {DBGFIELD("SUBWrs_SUBXrs")      2, false, false, 541, 2,  2, 1,  0, 0}, // #768
12777  {DBGFIELD("ADDWri_ADDXri")      2, false, false, 541, 2,  2, 1,  0, 0}, // #769
12778  {DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #770
12779  {DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #771
12780  {DBGFIELD("PRFMroW")            4, false, false, 1096, 3, 21, 1,  0, 0}, // #772
12781  {DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false,  0, 0,  0, 0,  0, 0}, // #773
12782  {DBGFIELD("FABSDr_FABSSr")      2, false, false, 1084, 3, 10, 1,  0, 0}, // #774
12783  {DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #775
12784  {DBGFIELD("FCVTZSh_FCVTZUh")    4, false, false, 1076, 3, 31, 1,  0, 0}, // #776
12785  {DBGFIELD("FRECPEv1f16")        2, false, false, 1084, 3, 10, 1,  0, 0}, // #777
12786  {DBGFIELD("FRSQRTEv1f16")       2, false, false, 1084, 3, 10, 1,  0, 0}, // #778
12787  {DBGFIELD("FRECPXv1f16")        2, false, false, 1084, 3, 10, 1,  0, 0}, // #779
12788  {DBGFIELD("FRECPS16_FRSQRTS16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #780
12789  {DBGFIELD("FMOVDXr")            2, false, false, 1084, 3,  8, 1,  0, 0}, // #781
12790  {DBGFIELD("STRDroW_STRSroW")    16382, false, false,  0, 0,  0, 0,  0, 0}, // #782
12791  {DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #783
12792  {DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #784
12793  {DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #785
12794  {DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #786
12795  {DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #787
12796  {DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #788
12797  {DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #789
12798  {DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #790
12799  {DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #791
12800  {DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #792
12801  {DBGFIELD("FABSv2f32")          2, false, false, 1084, 3, 10, 1,  0, 0}, // #793
12802  {DBGFIELD("FABSv2f64_FABSv4f32") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #794
12803  {DBGFIELD("FABSv4f16_FABSv8f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #795
12804  {DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #796
12805  {DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #797
12806  {DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #798
12807  {DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #799
12808  {DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #800
12809  {DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #801
12810  {DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #802
12811  {DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #803
12812  {DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #804
12813  {DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #805
12814  {DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #806
12815  {DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #807
12816  {DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #808
12817  {DBGFIELD("FMLAv2f32")          3, false, false, 1084, 3, 21, 1,  0, 0}, // #809
12818  {DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #810
12819  {DBGFIELD("FMLSv2f32")          3, false, false, 1084, 3, 21, 1,  0, 0}, // #811
12820  {DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #812
12821  {DBGFIELD("FNEGv4f16_FNEGv8f16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #813
12822  {DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #814
12823  {DBGFIELD("INSvi16lane_INSvi8lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #815
12824  {DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #816
12825  {DBGFIELD("FABSHr")             2, false, false, 1084, 3, 10, 1,  0, 0}, // #817
12826  {DBGFIELD("FADDHrr_FSUBHrr")    3, false, false, 1084, 3, 21, 1,  0, 0}, // #818
12827  {DBGFIELD("FADDPv2i16p")        3, false, false, 1084, 3, 21, 1,  0, 0}, // #819
12828  {DBGFIELD("FCCMPEHrr_FCCMPHrr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #820
12829  {DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #821
12830  {DBGFIELD("FCMGE16_FCMGEv1i16rz") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #822
12831  {DBGFIELD("FDIVHrr")            1, false, false, 1087, 3, 302, 1,  0, 0}, // #823
12832  {DBGFIELD("FMULHrr_FNMULHrr")   3, false, false, 1090, 3, 21, 1,  0, 0}, // #824
12833  {DBGFIELD("FMULX16")            3, false, false, 1090, 3, 21, 1,  0, 0}, // #825
12834  {DBGFIELD("FNEGHr")             2, false, false, 1084, 3, 10, 1,  0, 0}, // #826
12835  {DBGFIELD("FCSELHrrr")          2, false, false, 1084, 3,  8, 1,  0, 0}, // #827
12836  {DBGFIELD("FSQRTHr")            1, false, false, 1087, 3, 302, 1,  0, 0}, // #828
12837  {DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #829
12838  {DBGFIELD("FMOVHi")             2, false, false, 1084, 3,  8, 1,  0, 0}, // #830
12839  {DBGFIELD("FMOVHr")             2, false, false, 1084, 3, 10, 1,  0, 0}, // #831
12840  {DBGFIELD("FMOVWHr_FMOVXHr")    2, false, false, 1084, 3,  8, 1,  0, 0}, // #832
12841  {DBGFIELD("FMOVHWr_FMOVHXr")    2, false, false, 1084, 3,  8, 1,  0, 0}, // #833
12842  {DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false,  0, 0,  0, 0,  0, 0}, // #834
12843  {DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #835
12844  {DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #836
12845  {DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #837
12846  {DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #838
12847  {DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 4, false, false, 1076, 3, 31, 1,  0, 0}, // #839
12848  {DBGFIELD("FDIVv4f16")          4, false, false, 1076, 3, 31, 1,  0, 0}, // #840
12849  {DBGFIELD("FDIVv8f16")          4, false, false, 1076, 3, 31, 1,  0, 0}, // #841
12850  {DBGFIELD("FSQRTv4f16")         4, false, false, 1076, 3, 31, 1,  0, 0}, // #842
12851  {DBGFIELD("FSQRTv8f16")         4, false, false, 1076, 3, 31, 1,  0, 0}, // #843
12852  {DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #844
12853  {DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #845
12854  {DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #846
12855  {DBGFIELD("PMULLv1i64")         2, false, false, 1134, 5, 10, 1,  0, 0}, // #847
12856  {DBGFIELD("PMULLv8i8")          2, false, false, 1134, 5, 10, 1,  0, 0}, // #848
12857  {DBGFIELD("SHA256H2rrr")        2, false, false, 1134, 5, 31, 1,  0, 0}, // #849
12858  {DBGFIELD("TBNZW_TBZW")         2, false, false, 1081, 3,  5, 1,  0, 0}, // #850
12859  {DBGFIELD("ADCSWr_ADCWr")       2, false, false, 541, 2,  2, 1,  1, 2}, // #851
12860  {DBGFIELD("SBCSWr_SBCWr")       2, false, false, 541, 2,  2, 1,  0, 0}, // #852
12861  {DBGFIELD("ADDWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #853
12862  {DBGFIELD("SUBWrs")             2, false, false, 541, 2,  2, 1,  0, 0}, // #854
12863  {DBGFIELD("ADDSWrs")            2, false, false, 541, 2,  2, 1,  0, 0}, // #855
12864  {DBGFIELD("SUBSWrs")            2, false, false, 541, 2,  2, 1,  0, 0}, // #856
12865  {DBGFIELD("ADDSWrx_ADDWrx")     2, false, false, 541, 2,  2, 1,  0, 0}, // #857
12866  {DBGFIELD("SUBSWrx_SUBWrx")     2, false, false, 541, 2,  2, 1,  0, 0}, // #858
12867  {DBGFIELD("ADDWri")             2, false, false, 541, 2,  2, 1,  0, 0}, // #859
12868  {DBGFIELD("CCMNWi_CCMPWi")      2, false, false, 541, 2,  2, 1,  0, 0}, // #860
12869  {DBGFIELD("CCMNWr_CCMPWr")      2, false, false, 541, 2,  2, 1,  0, 0}, // #861
12870  {DBGFIELD("CSELWr")             2, false, false, 541, 2,  2, 1,  0, 0}, // #862
12871  {DBGFIELD("CSINCWr_CSNEGWr")    2, false, false, 541, 2,  2, 1,  0, 0}, // #863
12872  {DBGFIELD("CSINVWr")            2, false, false, 541, 2,  2, 1,  0, 0}, // #864
12873  {DBGFIELD("ASRVWr_LSRVWr_RORVWr") 2, false, false, 541, 2,  6, 1,  0, 1}, // #865
12874  {DBGFIELD("LSLVWr")             2, false, false, 541, 2,  5, 1,  0, 0}, // #866
12875  {DBGFIELD("BFMWri")             2, false, false, 541, 2,  5, 1,  0, 0}, // #867
12876  {DBGFIELD("SBFMWri_UBFMWri")    2, false, false, 541, 2,  5, 1,  0, 0}, // #868
12877  {DBGFIELD("CLSWr_CLZWr")        2, false, false, 1134, 5, 137, 1,  0, 0}, // #869
12878  {DBGFIELD("RBITWr")             2, false, false, 541, 2,  5, 1,  0, 0}, // #870
12879  {DBGFIELD("REVWr_REV16Wr")      2, false, false, 541, 2,  2, 1,  0, 1}, // #871
12880  {DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #872
12881  {DBGFIELD("CASALX_CASAX_CASLX_CASX") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #873
12882  {DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 2, false, false,  0, 0,  8, 1,  0, 0}, // #874
12883  {DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 2, false, false,  0, 0,  8, 1,  0, 0}, // #875
12884  {DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #876
12885  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #877
12886  {DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #878
12887  {DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #879
12888  {DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #880
12889  {DBGFIELD("BRK")                2, false, false, 1081, 3,  5, 1,  0, 0}, // #881
12890  {DBGFIELD("CBNZW_CBNZX")        2, false, false, 1081, 3,  5, 1,  0, 0}, // #882
12891  {DBGFIELD("TBNZW")              2, false, false, 1081, 3,  5, 1,  0, 0}, // #883
12892  {DBGFIELD("TBNZX")              2, false, false, 1081, 3,  5, 1,  0, 0}, // #884
12893  {DBGFIELD("BR")                 2, false, false, 1081, 3,  5, 1,  0, 0}, // #885
12894  {DBGFIELD("ADCWr")              2, false, false, 541, 2,  2, 1,  0, 0}, // #886
12895  {DBGFIELD("ADCXr")              2, false, false, 541, 2,  2, 1,  0, 0}, // #887
12896  {DBGFIELD("ASRVWr_RORVWr")      2, false, false, 541, 2,  5, 1,  0, 0}, // #888
12897  {DBGFIELD("ASRVXr_RORVXr")      2, false, false, 541, 2,  5, 1,  0, 0}, // #889
12898  {DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 2, false, false, 1134, 5, 10, 1,  0, 0}, // #890
12899  {DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 2, false, false, 1134, 5,  8, 1,  0, 0}, // #891
12900  {DBGFIELD("LDNPWi")             8, false, false, 1139, 3, 32, 2,  0, 0}, // #892
12901  {DBGFIELD("LDPWi")              8, false, false, 1139, 3, 32, 2,  0, 0}, // #893
12902  {DBGFIELD("LDRWl")              4, false, false, 912, 2,  8, 1,  0, 0}, // #894
12903  {DBGFIELD("LDTRBi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #895
12904  {DBGFIELD("LDTRHi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #896
12905  {DBGFIELD("LDTRWi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #897
12906  {DBGFIELD("LDTRSBWi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #898
12907  {DBGFIELD("LDTRSBXi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #899
12908  {DBGFIELD("LDTRSHWi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #900
12909  {DBGFIELD("LDTRSHXi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #901
12910  {DBGFIELD("LDPWpre")            10, false, false, 1139, 3, 43, 3,  0, 0}, // #902
12911  {DBGFIELD("LDRWpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #903
12912  {DBGFIELD("LDRXpre")            5, false, false, 1139, 3, 39, 2,  0, 0}, // #904
12913  {DBGFIELD("LDRSBWpre")          5, false, false, 1139, 3, 14, 2,  0, 0}, // #905
12914  {DBGFIELD("LDRSBXpre")          5, false, false, 1139, 3, 14, 2,  0, 0}, // #906
12915  {DBGFIELD("LDRSBWpost")         5, false, false, 1139, 3, 14, 2,  0, 0}, // #907
12916  {DBGFIELD("LDRSBXpost")         5, false, false, 1139, 3, 14, 2,  0, 0}, // #908
12917  {DBGFIELD("LDRSHWpre")          5, false, false, 1139, 3, 14, 2,  0, 0}, // #909
12918  {DBGFIELD("LDRSHXpre")          5, false, false, 1139, 3, 14, 2,  0, 0}, // #910
12919  {DBGFIELD("LDRSHWpost")         5, false, false, 1139, 3, 14, 2,  0, 0}, // #911
12920  {DBGFIELD("LDRSHXpost")         5, false, false, 1139, 3, 14, 2,  0, 0}, // #912
12921  {DBGFIELD("LDRBBpre")           5, false, false, 1139, 3, 14, 2,  0, 0}, // #913
12922  {DBGFIELD("LDRBBpost")          5, false, false, 1139, 3, 14, 2,  0, 0}, // #914
12923  {DBGFIELD("LDRHHpre")           5, false, false, 1139, 3, 14, 2,  0, 0}, // #915
12924  {DBGFIELD("LDRHHpost")          5, false, false, 1139, 3, 14, 2,  0, 0}, // #916
12925  {DBGFIELD("LDPWpost")           10, false, false, 1139, 3, 43, 3,  0, 0}, // #917
12926  {DBGFIELD("LDPXpost")           10, false, false, 1139, 3, 43, 3,  0, 0}, // #918
12927  {DBGFIELD("LDRWpost")           5, false, false, 1139, 3, 329, 2,  0, 0}, // #919
12928  {DBGFIELD("LDRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #920
12929  {DBGFIELD("LDRXroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #921
12930  {DBGFIELD("LDRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #922
12931  {DBGFIELD("LDRXroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #923
12932  {DBGFIELD("LDURBBi")            4, false, false, 912, 2,  8, 1,  0, 0}, // #924
12933  {DBGFIELD("LDURHHi")            4, false, false, 912, 2,  8, 1,  0, 0}, // #925
12934  {DBGFIELD("LDURXi")             4, false, false, 912, 2,  8, 1,  0, 0}, // #926
12935  {DBGFIELD("LDURSBWi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #927
12936  {DBGFIELD("LDURSBXi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #928
12937  {DBGFIELD("LDURSHWi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #929
12938  {DBGFIELD("LDURSHXi")           4, false, false, 912, 2,  8, 1,  0, 0}, // #930
12939  {DBGFIELD("PRFMl")              4, false, false, 1096, 3, 21, 1,  0, 0}, // #931
12940  {DBGFIELD("STURBi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #932
12941  {DBGFIELD("STURBBi")            1, false, false, 912, 2,  0, 1,  0, 0}, // #933
12942  {DBGFIELD("STURDi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #934
12943  {DBGFIELD("STURHi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #935
12944  {DBGFIELD("STURHHi")            1, false, false, 912, 2,  0, 1,  0, 0}, // #936
12945  {DBGFIELD("STURWi")             1, false, false, 912, 2,  0, 1,  0, 0}, // #937
12946  {DBGFIELD("STTRBi")             3, false, false, 1096, 3, 266, 2,  0, 0}, // #938
12947  {DBGFIELD("STTRHi")             3, false, false, 1096, 3, 266, 2,  0, 0}, // #939
12948  {DBGFIELD("STTRWi")             3, false, false, 1096, 3, 266, 2,  0, 0}, // #940
12949  {DBGFIELD("STRBui")             3, false, false, 1139, 3,  0, 1,  0, 0}, // #941
12950  {DBGFIELD("STRDui")             3, false, false, 1139, 3,  0, 1,  0, 0}, // #942
12951  {DBGFIELD("STRHui")             3, false, false, 1139, 3,  0, 1,  0, 0}, // #943
12952  {DBGFIELD("STRXui")             3, false, false, 1139, 3,  0, 1,  0, 0}, // #944
12953  {DBGFIELD("STRWui")             3, false, false, 1139, 3,  0, 1,  0, 0}, // #945
12954  {DBGFIELD("STRBBroW")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #946
12955  {DBGFIELD("STRBBroX")           16382, false, false,  0, 0,  0, 0,  0, 0}, // #947
12956  {DBGFIELD("STRDroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #948
12957  {DBGFIELD("STRDroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #949
12958  {DBGFIELD("STRWroW")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #950
12959  {DBGFIELD("STRWroX")            16382, false, false,  0, 0,  0, 0,  0, 0}, // #951
12960  {DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #952
12961  {DBGFIELD("FADDv2f64_FSUBv2f64") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #953
12962  {DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #954
12963  {DBGFIELD("FADDv4f32_FSUBv4f32") 3, false, false, 1084, 3, 21, 1,  0, 0}, // #955
12964  {DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 3, false, false, 1090, 3, 21, 1,  0, 0}, // #956
12965  {DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #957
12966  {DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #958
12967  {DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 3, false, false, 1084, 3, 31, 1,  0, 0}, // #959
12968  {DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #960
12969  {DBGFIELD("FCMGEv1i16rz")       2, false, false, 1084, 3, 10, 1,  0, 0}, // #961
12970  {DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #962
12971  {DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #963
12972  {DBGFIELD("UZP1v2i64_UZP2v2i64") 2, false, false, 1084, 3, 10, 1,  0, 0}, // #964
12973  {DBGFIELD("CASB_CASH_CASW")     6, false, false, 1096, 3, 333, 2,  0, 0}, // #965
12974  {DBGFIELD("CASX")               6, false, false, 1096, 3, 333, 2,  0, 0}, // #966
12975  {DBGFIELD("CASAB_CASAH_CASAW")  8, false, false, 1096, 3, 335, 2,  0, 0}, // #967
12976  {DBGFIELD("CASAX")              8, false, false, 1096, 3, 335, 2,  0, 0}, // #968
12977  {DBGFIELD("CASLB_CASLH_CASLW")  8, false, false, 1096, 3, 335, 2,  0, 0}, // #969
12978  {DBGFIELD("CASLX")              8, false, false, 1096, 3, 335, 2,  0, 0}, // #970
12979  {DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #971
12980  {DBGFIELD("LDADDB_LDADDH_LDADDW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #972
12981  {DBGFIELD("LDADDX")             6, false, false, 1096, 3, 333, 2,  0, 0}, // #973
12982  {DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #974
12983  {DBGFIELD("LDADDAX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #975
12984  {DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #976
12985  {DBGFIELD("LDADDLX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #977
12986  {DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #978
12987  {DBGFIELD("LDADDALX")           10, false, false, 1096, 3, 331, 2,  0, 0}, // #979
12988  {DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #980
12989  {DBGFIELD("LDCLRX")             6, false, false, 1096, 3, 333, 2,  0, 0}, // #981
12990  {DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #982
12991  {DBGFIELD("LDCLRAX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #983
12992  {DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #984
12993  {DBGFIELD("LDCLRLX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #985
12994  {DBGFIELD("LDEORB_LDEORH_LDEORW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #986
12995  {DBGFIELD("LDEORX")             6, false, false, 1096, 3, 333, 2,  0, 0}, // #987
12996  {DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #988
12997  {DBGFIELD("LDEORAX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #989
12998  {DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #990
12999  {DBGFIELD("LDEORLX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #991
13000  {DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #992
13001  {DBGFIELD("LDEORALX")           10, false, false, 1096, 3, 331, 2,  0, 0}, // #993
13002  {DBGFIELD("LDSETB_LDSETH_LDSETW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #994
13003  {DBGFIELD("LDSETX")             6, false, false, 1096, 3, 333, 2,  0, 0}, // #995
13004  {DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #996
13005  {DBGFIELD("LDSETAX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #997
13006  {DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 8, false, false, 1096, 3, 335, 2,  0, 0}, // #998
13007  {DBGFIELD("LDSETLX")            8, false, false, 1096, 3, 335, 2,  0, 0}, // #999
13008  {DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 10, false, false, 1096, 3, 331, 2,  0, 0}, // #1000
13009  {DBGFIELD("LDSETALX")           10, false, false, 1096, 3, 331, 2,  0, 0}, // #1001
13010  {DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1002
13011  {DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1003
13012  {DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1004
13013  {DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1005
13014  {DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1006
13015  {DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1007
13016  {DBGFIELD("SWPB_SWPH_SWPW")     6, false, false, 1096, 3, 333, 2,  0, 0}, // #1008
13017  {DBGFIELD("SWPX")               6, false, false, 1096, 3, 333, 2,  0, 0}, // #1009
13018  {DBGFIELD("SWPAB_SWPAH_SWPAW")  8, false, false, 1096, 3, 335, 2,  0, 0}, // #1010
13019  {DBGFIELD("SWPAX")              8, false, false, 1096, 3, 335, 2,  0, 0}, // #1011
13020  {DBGFIELD("SWPLB_SWPLH_SWPLW")  8, false, false, 1096, 3, 335, 2,  0, 0}, // #1012
13021  {DBGFIELD("SWPLX")              8, false, false, 1096, 3, 335, 2,  0, 0}, // #1013
13022  {DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 6, false, false, 1096, 3, 333, 2,  0, 0}, // #1014
13023  {DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1015
13024  {DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1016
13025  {DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1017
13026  {DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1018
13027  {DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1019
13028  {DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1020
13029  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1021
13030  {DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1022
13031  {DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1023
13032  {DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1024
13033  {DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1025
13034  {DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1026
13035  {DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1027
13036  {DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1028
13037  {DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1029
13038  {DBGFIELD("WriteLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1030
13039  {DBGFIELD("M4WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1031
13040  {DBGFIELD("M4WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1032
13041  {DBGFIELD("M5WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1033
13042  {DBGFIELD("M5WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1034
13043  {DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 3, false, false, 1139, 3, 21, 1,  0, 0}, // #1035
13044  {DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 3, false, false, 1096, 3, 10, 1,  0, 0}, // #1036
13045  {DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1037
13046  {DBGFIELD("WriteST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1038
13047  {DBGFIELD("M4WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1039
13048  {DBGFIELD("M4WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1040
13049  {DBGFIELD("M5WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1041
13050  {DBGFIELD("M5WriteS1_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1042
13051  {DBGFIELD("WriteX")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1043
13052  {DBGFIELD("WriteI")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1044
13053  {DBGFIELD("M3WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1045
13054  {DBGFIELD("M3WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1046
13055  {DBGFIELD("M4WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1047
13056  {DBGFIELD("M4WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1048
13057  {DBGFIELD("M5WriteNALU2")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1049
13058  {DBGFIELD("M5WriteZ0")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1050
13059  {DBGFIELD("M3WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1051
13060  {DBGFIELD("M3WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1052
13061  {DBGFIELD("M4WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1053
13062  {DBGFIELD("M4WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1054
13063  {DBGFIELD("M5WriteAC")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1055
13064  {DBGFIELD("M5WriteAB")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1056
13065  {DBGFIELD("WriteISReg")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1057
13066  {DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1058
13067  {DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1059
13068  {DBGFIELD("M3WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1060
13069  {DBGFIELD("M3WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1061
13070  {DBGFIELD("M4WriteA1")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1062
13071  {DBGFIELD("M4WriteAA")          0, false, false,  0, 0,  0, 0,  0, 0}, // #1063
13072  {DBGFIELD("M5WriteA1X")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1064
13073  {DBGFIELD("M5WriteAAX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1065
13074  {DBGFIELD("M5WriteA1W")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1066
13075  {DBGFIELD("M5WriteAFW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1067
13076  {DBGFIELD("M5WriteAFX")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1068
13077  {DBGFIELD("M4WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1069
13078  {DBGFIELD("M4WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1070
13079  {DBGFIELD("M5WriteNEONO")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1071
13080  {DBGFIELD("M5WriteNEONN")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1072
13081  {DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1073
13082  {DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1074
13083  {DBGFIELD("M3WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1075
13084  {DBGFIELD("M4WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1076
13085  {DBGFIELD("M5WriteLE_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1077
13086  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 3, false, false, 1096, 3,  8, 1,  0, 0}, // #1078
13087  {DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 3, false, false, 1139, 3,  8, 1,  0, 0}, // #1079
13088  {DBGFIELD("WriteVLD_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1080
13089  {DBGFIELD("M3WriteLB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1081
13090  {DBGFIELD("M3WriteL5_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1082
13091  {DBGFIELD("M3WriteL4_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1083
13092  {DBGFIELD("M5WriteL6_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1084
13093  {DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1085
13094  {DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1086
13095  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 4, false, false, 1139, 3, 266, 2,  0, 0}, // #1087
13096  {DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 5, false, false, 1142, 3, 266, 2,  0, 0}, // #1088
13097  {DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1089
13098  {DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1090
13099  {DBGFIELD("M3WriteSA_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1091
13100  {DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1092
13101  {DBGFIELD("WriteVST_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1093
13102  {DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1094
13103  {DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1095
13104  {DBGFIELD("M3WriteSB_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1096
13105  {DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1097
13106  {DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1098
13107  {DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1099
13108  {DBGFIELD("WriteImm")           0, false, false,  0, 0,  0, 0,  0, 0}, // #1100
13109  {DBGFIELD("FalkorWr_1none_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1101
13110  {DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1102
13111  {DBGFIELD("WriteV")             0, false, false,  0, 0,  0, 0,  0, 0}, // #1103
13112  {DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1104
13113  {DBGFIELD("M5WriteNALU1")       0, false, false,  0, 0,  0, 0,  0, 0}, // #1105
13114  {DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1106
13115  {DBGFIELD("FalkorWr_1ST_3cyc")  0, false, false,  0, 0,  0, 0,  0, 0}, // #1107
13116  {DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false,  0, 0,  0, 0,  0, 0}, // #1108
13117  {DBGFIELD("M5WriteAAW")         0, false, false,  0, 0,  0, 0,  0, 0}, // #1109
13118}; // ThunderX2T99ModelSchedClasses
13119
13120#undef DBGFIELD
13121
13122static const llvm::MCSchedModel NoSchedModel = {
13123  MCSchedModel::DefaultIssueWidth,
13124  MCSchedModel::DefaultMicroOpBufferSize,
13125  MCSchedModel::DefaultLoopMicroOpBufferSize,
13126  MCSchedModel::DefaultLoadLatency,
13127  MCSchedModel::DefaultHighLatency,
13128  MCSchedModel::DefaultMispredictPenalty,
13129  false, // PostRAScheduler
13130  false, // CompleteModel
13131  0, // Processor ID
13132  nullptr, nullptr, 0, 0, // No instruction-level machine model.
13133  nullptr, // No Itinerary
13134  nullptr // No extra processor descriptor
13135};
13136
13137static const unsigned CycloneModelProcResourceSubUnits[] = {
13138  0,  // Invalid
13139};
13140
13141// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13142static const llvm::MCProcResourceDesc CycloneModelProcResources[] = {
13143  {"InvalidUnit", 0, 0, 0, 0},
13144  {"CyUnitB",         2, 4, 24, nullptr}, // #1, Super=CyUnitI
13145  {"CyUnitBR",        1, 1, -1, nullptr}, // #2, Super=CyUnitB
13146  {"CyUnitFloatDiv",  2, 0, -1, nullptr}, // #3
13147  {"CyUnitI",         4, 0, 48, nullptr}, // #4
13148  {"CyUnitID",        1, 1, 16, nullptr}, // #5, Super=CyUnitB
13149  {"CyUnitIM",        1, 2, 32, nullptr}, // #6, Super=CyUnitBR
13150  {"CyUnitIS",        2, 4, 24, nullptr}, // #7, Super=CyUnitI
13151  {"CyUnitIntDiv",    1, 0, -1, nullptr}, // #8
13152  {"CyUnitLS",        2, 0, 28, nullptr}, // #9
13153  {"CyUnitV",         3, 0, 48, nullptr}, // #10
13154  {"CyUnitVC",        1, 13, 16, nullptr}, // #11, Super=CyUnitVM
13155  {"CyUnitVD",        1, 10, 16, nullptr}, // #12, Super=CyUnitV
13156  {"CyUnitVM",        2, 10, 32, nullptr}, // #13, Super=CyUnitV
13157};
13158
13159static const llvm::MCSchedModel CycloneModel = {
13160  6, // IssueWidth
13161  192, // MicroOpBufferSize
13162  MCSchedModel::DefaultLoopMicroOpBufferSize,
13163  4, // LoadLatency
13164  MCSchedModel::DefaultHighLatency,
13165  16, // MispredictPenalty
13166  false, // PostRAScheduler
13167  true, // CompleteModel
13168  1, // Processor ID
13169  CycloneModelProcResources,
13170  CycloneModelSchedClasses,
13171  14,
13172  1110,
13173  nullptr, // No Itinerary
13174  nullptr // No extra processor descriptor
13175};
13176
13177static const unsigned CortexA53ModelProcResourceSubUnits[] = {
13178  0,  // Invalid
13179};
13180
13181// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13182static const llvm::MCProcResourceDesc CortexA53ModelProcResources[] = {
13183  {"InvalidUnit", 0, 0, 0, 0},
13184  {"A53UnitALU",      2, 0, 0, nullptr}, // #1
13185  {"A53UnitB",        1, 0, 0, nullptr}, // #2
13186  {"A53UnitDiv",      1, 0, 0, nullptr}, // #3
13187  {"A53UnitFPALU",    1, 0, 0, nullptr}, // #4
13188  {"A53UnitFPMDS",    1, 0, 0, nullptr}, // #5
13189  {"A53UnitLdSt",     1, 0, 0, nullptr}, // #6
13190  {"A53UnitMAC",      1, 0, 0, nullptr}, // #7
13191};
13192
13193static const llvm::MCSchedModel CortexA53Model = {
13194  2, // IssueWidth
13195  0, // MicroOpBufferSize
13196  MCSchedModel::DefaultLoopMicroOpBufferSize,
13197  3, // LoadLatency
13198  MCSchedModel::DefaultHighLatency,
13199  9, // MispredictPenalty
13200  false, // PostRAScheduler
13201  true, // CompleteModel
13202  2, // Processor ID
13203  CortexA53ModelProcResources,
13204  CortexA53ModelSchedClasses,
13205  8,
13206  1110,
13207  nullptr, // No Itinerary
13208  nullptr // No extra processor descriptor
13209};
13210
13211static const unsigned CortexA57ModelProcResourceSubUnits[] = {
13212  0,  // Invalid
13213  8,   7,   // A57UnitV
13214};
13215
13216// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13217static const llvm::MCProcResourceDesc CortexA57ModelProcResources[] = {
13218  {"InvalidUnit", 0, 0, 0, 0},
13219  {"A57UnitB",        1, 0, -1, nullptr}, // #1
13220  {"A57UnitI",        2, 0, -1, nullptr}, // #2
13221  {"A57UnitL",        1, 0, -1, nullptr}, // #3
13222  {"A57UnitM",        1, 0, -1, nullptr}, // #4
13223  {"A57UnitS",        1, 0, -1, nullptr}, // #5
13224  {"A57UnitV",        2, 0, -1, CortexA57ModelProcResourceSubUnits + 1}, // #6
13225  {"A57UnitW",        1, 0, -1, nullptr}, // #7
13226  {"A57UnitX",        1, 0, -1, nullptr}, // #8
13227};
13228
13229static const llvm::MCSchedModel CortexA57Model = {
13230  3, // IssueWidth
13231  128, // MicroOpBufferSize
13232  16, // LoopMicroOpBufferSize
13233  4, // LoadLatency
13234  MCSchedModel::DefaultHighLatency,
13235  14, // MispredictPenalty
13236  false, // PostRAScheduler
13237  true, // CompleteModel
13238  3, // Processor ID
13239  CortexA57ModelProcResources,
13240  CortexA57ModelSchedClasses,
13241  9,
13242  1110,
13243  nullptr, // No Itinerary
13244  nullptr // No extra processor descriptor
13245};
13246
13247static const unsigned ExynosM3ModelProcResourceSubUnits[] = {
13248  0,  // Invalid
13249  4,   4,   7,   7,   // M3UnitALU
13250  10,   11,   12,   // M3UnitFADD
13251  14,   15,   // M3UnitFCVT
13252  17,   17,   18,   18,   // M3UnitFDIV
13253  20,   21,   22,   // M3UnitFMAC
13254  25,   26,   // M3UnitFST
13255  29,   30,   31,   // M3UnitNALU
13256  33,   34,   // M3UnitNCRY
13257  38,   39,   40,   // M3UnitNSHF
13258  42,   43,   44,   // M3UnitNSHT
13259};
13260
13261// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13262static const llvm::MCProcResourceDesc ExynosM3ModelProcResources[] = {
13263  {"InvalidUnit", 0, 0, 0, 0},
13264  {"M3PipeF0",        1, 0, -1, nullptr}, // #1
13265  {"M3PipeF1",        1, 0, -1, nullptr}, // #2
13266  {"M3PipeF2",        1, 0, -1, nullptr}, // #3
13267  {"M3UnitA",         2, 0, -1, nullptr}, // #4
13268  {"M3UnitALU",       4, 0, -1, ExynosM3ModelProcResourceSubUnits + 1}, // #5
13269  {"M3UnitB",         2, 0, -1, nullptr}, // #6
13270  {"M3UnitC",         2, 0, -1, nullptr}, // #7
13271  {"M3UnitD",         1, 0, -1, nullptr}, // #8
13272  {"M3UnitFADD",      3, 0, -1, ExynosM3ModelProcResourceSubUnits + 5}, // #9
13273  {"M3UnitFADD0",     1, 1, -1, nullptr}, // #10, Super=M3PipeF0
13274  {"M3UnitFADD1",     1, 2, -1, nullptr}, // #11, Super=M3PipeF1
13275  {"M3UnitFADD2",     1, 3, -1, nullptr}, // #12, Super=M3PipeF2
13276  {"M3UnitFCVT",      2, 0, -1, ExynosM3ModelProcResourceSubUnits + 8}, // #13
13277  {"M3UnitFCVT0",     1, 1, -1, nullptr}, // #14, Super=M3PipeF0
13278  {"M3UnitFCVT1",     1, 2, -1, nullptr}, // #15, Super=M3PipeF1
13279  {"M3UnitFDIV",      4, 0, -1, ExynosM3ModelProcResourceSubUnits + 10}, // #16
13280  {"M3UnitFDIV0",     2, 2, -1, nullptr}, // #17, Super=M3PipeF1
13281  {"M3UnitFDIV1",     2, 3, -1, nullptr}, // #18, Super=M3PipeF2
13282  {"M3UnitFMAC",      3, 0, -1, ExynosM3ModelProcResourceSubUnits + 14}, // #19
13283  {"M3UnitFMAC0",     1, 1, -1, nullptr}, // #20, Super=M3PipeF0
13284  {"M3UnitFMAC1",     1, 2, -1, nullptr}, // #21, Super=M3PipeF1
13285  {"M3UnitFMAC2",     1, 3, -1, nullptr}, // #22, Super=M3PipeF2
13286  {"M3UnitFSQR",      2, 1, -1, nullptr}, // #23, Super=M3PipeF0
13287  {"M3UnitFST",       2, 0, -1, ExynosM3ModelProcResourceSubUnits + 17}, // #24
13288  {"M3UnitFST0",      1, 2, -1, nullptr}, // #25, Super=M3PipeF1
13289  {"M3UnitFST1",      1, 3, -1, nullptr}, // #26, Super=M3PipeF2
13290  {"M3UnitL",         2, 0, -1, nullptr}, // #27
13291  {"M3UnitNALU",      3, 0, -1, ExynosM3ModelProcResourceSubUnits + 19}, // #28
13292  {"M3UnitNALU0",     1, 1, -1, nullptr}, // #29, Super=M3PipeF0
13293  {"M3UnitNALU1",     1, 2, -1, nullptr}, // #30, Super=M3PipeF1
13294  {"M3UnitNALU2",     1, 3, -1, nullptr}, // #31, Super=M3PipeF2
13295  {"M3UnitNCRY",      2, 0, -1, ExynosM3ModelProcResourceSubUnits + 22}, // #32
13296  {"M3UnitNCRY0",     1, 2, -1, nullptr}, // #33, Super=M3PipeF1
13297  {"M3UnitNCRY1",     1, 3, -1, nullptr}, // #34, Super=M3PipeF2
13298  {"M3UnitNMSC",      1, 1, -1, nullptr}, // #35, Super=M3PipeF0
13299  {"M3UnitNMUL",      1, 2, -1, nullptr}, // #36, Super=M3PipeF1
13300  {"M3UnitNSHF",      3, 0, -1, ExynosM3ModelProcResourceSubUnits + 24}, // #37
13301  {"M3UnitNSHF0",     1, 1, -1, nullptr}, // #38, Super=M3PipeF0
13302  {"M3UnitNSHF1",     1, 2, -1, nullptr}, // #39, Super=M3PipeF1
13303  {"M3UnitNSHF2",     1, 3, -1, nullptr}, // #40, Super=M3PipeF2
13304  {"M3UnitNSHT",      3, 0, -1, ExynosM3ModelProcResourceSubUnits + 27}, // #41
13305  {"M3UnitNSHT0",     1, 1, -1, nullptr}, // #42, Super=M3PipeF0
13306  {"M3UnitNSHT1",     1, 2, -1, nullptr}, // #43, Super=M3PipeF1
13307  {"M3UnitNSHT2",     1, 3, -1, nullptr}, // #44, Super=M3PipeF2
13308  {"M3UnitS",         1, 0, -1, nullptr}, // #45
13309};
13310
13311static const llvm::MCSchedModel ExynosM3Model = {
13312  6, // IssueWidth
13313  228, // MicroOpBufferSize
13314  40, // LoopMicroOpBufferSize
13315  4, // LoadLatency
13316  MCSchedModel::DefaultHighLatency,
13317  16, // MispredictPenalty
13318  false, // PostRAScheduler
13319  true, // CompleteModel
13320  4, // Processor ID
13321  ExynosM3ModelProcResources,
13322  ExynosM3ModelSchedClasses,
13323  46,
13324  1110,
13325  nullptr, // No Itinerary
13326  nullptr // No extra processor descriptor
13327};
13328
13329static const unsigned ExynosM4ModelProcResourceSubUnits[] = {
13330  0,  // Invalid
13331  5,   5,   8,   8,   // M4UnitALU
13332  12,   13,   14,   // M4UnitFADD
13333  12,   13,   // M4UnitFADDH
13334  17,   18,   // M4UnitFCVT
13335  17,   // M4UnitFCVTH
13336  21,   21,   22,   22,   // M4UnitFDIV
13337  21,   21,   // M4UnitFDIVH
13338  25,   26,   27,   // M4UnitFMAC
13339  25,   26,   // M4UnitFMACH
13340  30,   30,   31,   31,   // M4UnitFSQR
13341  30,   30,   // M4UnitFSQRH
13342  34,   35,   // M4UnitFST
13343  37,   38,   // M4UnitL
13344  40,   41,   42,   // M4UnitNALU
13345  40,   41,   // M4UnitNALUH
13346  45,   46,   // M4UnitNCRY
13347  50,   51,   // M4UnitNMUL
13348  53,   54,   // M4UnitNSHF
13349  53,   // M4UnitNSHFH
13350  57,   58,   59,   // M4UnitNSHT
13351  61,   62,   // M4UnitS
13352};
13353
13354// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13355static const llvm::MCProcResourceDesc ExynosM4ModelProcResources[] = {
13356  {"InvalidUnit", 0, 0, 0, 0},
13357  {"M4PipeF0",        1, 0, -1, nullptr}, // #1
13358  {"M4PipeF1",        1, 0, -1, nullptr}, // #2
13359  {"M4PipeF2",        1, 0, -1, nullptr}, // #3
13360  {"M4PipeLS",        1, 0, -1, nullptr}, // #4
13361  {"M4UnitA",         2, 0, -1, nullptr}, // #5
13362  {"M4UnitALU",       4, 0, -1, ExynosM4ModelProcResourceSubUnits + 1}, // #6
13363  {"M4UnitB",         2, 0, -1, nullptr}, // #7
13364  {"M4UnitC",         2, 0, -1, nullptr}, // #8
13365  {"M4UnitD",         1, 8, 1, nullptr}, // #9, Super=M4UnitC
13366  {"M4UnitE",         1, 8, -1, nullptr}, // #10, Super=M4UnitC
13367  {"M4UnitFADD",      3, 0, -1, ExynosM4ModelProcResourceSubUnits + 5}, // #11
13368  {"M4UnitFADD0",     1, 1, -1, nullptr}, // #12, Super=M4PipeF0
13369  {"M4UnitFADD1",     1, 2, -1, nullptr}, // #13, Super=M4PipeF1
13370  {"M4UnitFADD2",     1, 3, -1, nullptr}, // #14, Super=M4PipeF2
13371  {"M4UnitFADDH",     2, 0, -1, ExynosM4ModelProcResourceSubUnits + 8}, // #15
13372  {"M4UnitFCVT",      2, 0, -1, ExynosM4ModelProcResourceSubUnits + 10}, // #16
13373  {"M4UnitFCVT0",     1, 1, -1, nullptr}, // #17, Super=M4PipeF0
13374  {"M4UnitFCVT1",     1, 3, -1, nullptr}, // #18, Super=M4PipeF2
13375  {"M4UnitFCVTH",     1, 0, -1, ExynosM4ModelProcResourceSubUnits + 12}, // #19
13376  {"M4UnitFDIV",      4, 0, -1, ExynosM4ModelProcResourceSubUnits + 13}, // #20
13377  {"M4UnitFDIV0",     2, 2, -1, nullptr}, // #21, Super=M4PipeF1
13378  {"M4UnitFDIV1",     2, 3, -1, nullptr}, // #22, Super=M4PipeF2
13379  {"M4UnitFDIVH",     2, 0, -1, ExynosM4ModelProcResourceSubUnits + 17}, // #23
13380  {"M4UnitFMAC",      3, 0, -1, ExynosM4ModelProcResourceSubUnits + 19}, // #24
13381  {"M4UnitFMAC0",     1, 1, -1, nullptr}, // #25, Super=M4PipeF0
13382  {"M4UnitFMAC1",     1, 2, -1, nullptr}, // #26, Super=M4PipeF1
13383  {"M4UnitFMAC2",     1, 3, -1, nullptr}, // #27, Super=M4PipeF2
13384  {"M4UnitFMACH",     2, 0, -1, ExynosM4ModelProcResourceSubUnits + 22}, // #28
13385  {"M4UnitFSQR",      4, 0, -1, ExynosM4ModelProcResourceSubUnits + 24}, // #29
13386  {"M4UnitFSQR0",     2, 2, -1, nullptr}, // #30, Super=M4PipeF1
13387  {"M4UnitFSQR1",     2, 3, -1, nullptr}, // #31, Super=M4PipeF2
13388  {"M4UnitFSQRH",     2, 0, -1, ExynosM4ModelProcResourceSubUnits + 28}, // #32
13389  {"M4UnitFST",       2, 0, -1, ExynosM4ModelProcResourceSubUnits + 30}, // #33
13390  {"M4UnitFST0",      1, 2, -1, nullptr}, // #34, Super=M4PipeF1
13391  {"M4UnitFST1",      1, 3, -1, nullptr}, // #35, Super=M4PipeF2
13392  {"M4UnitL",         2, 0, -1, ExynosM4ModelProcResourceSubUnits + 32}, // #36
13393  {"M4UnitL0",        1, 0, -1, nullptr}, // #37
13394  {"M4UnitL1",        1, 4, -1, nullptr}, // #38, Super=M4PipeLS
13395  {"M4UnitNALU",      3, 0, -1, ExynosM4ModelProcResourceSubUnits + 34}, // #39
13396  {"M4UnitNALU0",     1, 1, -1, nullptr}, // #40, Super=M4PipeF0
13397  {"M4UnitNALU1",     1, 2, -1, nullptr}, // #41, Super=M4PipeF1
13398  {"M4UnitNALU2",     1, 3, -1, nullptr}, // #42, Super=M4PipeF2
13399  {"M4UnitNALUH",     2, 0, -1, ExynosM4ModelProcResourceSubUnits + 37}, // #43
13400  {"M4UnitNCRY",      2, 0, -1, ExynosM4ModelProcResourceSubUnits + 39}, // #44
13401  {"M4UnitNCRY0",     1, 1, -1, nullptr}, // #45, Super=M4PipeF0
13402  {"M4UnitNCRY1",     1, 3, -1, nullptr}, // #46, Super=M4PipeF2
13403  {"M4UnitNHAD",      1, 1, -1, nullptr}, // #47, Super=M4PipeF0
13404  {"M4UnitNMSC",      1, 1, -1, nullptr}, // #48, Super=M4PipeF0
13405  {"M4UnitNMUL",      2, 0, -1, ExynosM4ModelProcResourceSubUnits + 41}, // #49
13406  {"M4UnitNMUL0",     1, 1, -1, nullptr}, // #50, Super=M4PipeF0
13407  {"M4UnitNMUL1",     1, 3, -1, nullptr}, // #51, Super=M4PipeF2
13408  {"M4UnitNSHF",      2, 0, -1, ExynosM4ModelProcResourceSubUnits + 43}, // #52
13409  {"M4UnitNSHF0",     1, 1, -1, nullptr}, // #53, Super=M4PipeF0
13410  {"M4UnitNSHF1",     1, 2, -1, nullptr}, // #54, Super=M4PipeF1
13411  {"M4UnitNSHFH",     1, 0, -1, ExynosM4ModelProcResourceSubUnits + 45}, // #55
13412  {"M4UnitNSHT",      3, 0, -1, ExynosM4ModelProcResourceSubUnits + 46}, // #56
13413  {"M4UnitNSHT0",     1, 1, -1, nullptr}, // #57, Super=M4PipeF0
13414  {"M4UnitNSHT1",     1, 2, -1, nullptr}, // #58, Super=M4PipeF1
13415  {"M4UnitNSHT2",     1, 3, -1, nullptr}, // #59, Super=M4PipeF2
13416  {"M4UnitS",         2, 0, -1, ExynosM4ModelProcResourceSubUnits + 49}, // #60
13417  {"M4UnitS0",        1, 0, -1, nullptr}, // #61
13418  {"M4UnitS1",        1, 4, -1, nullptr}, // #62, Super=M4PipeLS
13419};
13420
13421static const llvm::MCSchedModel ExynosM4Model = {
13422  6, // IssueWidth
13423  228, // MicroOpBufferSize
13424  48, // LoopMicroOpBufferSize
13425  4, // LoadLatency
13426  MCSchedModel::DefaultHighLatency,
13427  16, // MispredictPenalty
13428  false, // PostRAScheduler
13429  true, // CompleteModel
13430  5, // Processor ID
13431  ExynosM4ModelProcResources,
13432  ExynosM4ModelSchedClasses,
13433  63,
13434  1110,
13435  nullptr, // No Itinerary
13436  nullptr // No extra processor descriptor
13437};
13438
13439static const unsigned ExynosM5ModelProcResourceSubUnits[] = {
13440  0,  // Invalid
13441  5,   5,   9,   9,   11,   11,   // M5UnitAW
13442  5,   5,   9,   9,   // M5UnitAX
13443  14,   15,   16,   // M5UnitFADD
13444  18,   19,   // M5UnitFCVT
13445  21,   21,   22,   22,   // M5UnitFDIV
13446  24,   25,   26,   // M5UnitFMAC
13447  28,   28,   29,   29,   // M5UnitFSQR
13448  31,   32,   // M5UnitFST
13449  34,   35,   // M5UnitL
13450  37,   38,   39,   // M5UnitNALU
13451  41,   42,   // M5UnitNCRY
13452  44,   45,   46,   // M5UnitNDOT
13453  50,   51,   // M5UnitNMUL
13454  53,   54,   // M5UnitNSHF
13455  56,   57,   58,   // M5UnitNSHT
13456  60,   61,   // M5UnitS
13457};
13458
13459// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13460static const llvm::MCProcResourceDesc ExynosM5ModelProcResources[] = {
13461  {"InvalidUnit", 0, 0, 0, 0},
13462  {"M5PipeF0",        1, 0, -1, nullptr}, // #1
13463  {"M5PipeF1",        1, 0, -1, nullptr}, // #2
13464  {"M5PipeF2",        1, 0, -1, nullptr}, // #3
13465  {"M5PipeLS",        1, 0, -1, nullptr}, // #4
13466  {"M5UnitA",         2, 0, -1, nullptr}, // #5
13467  {"M5UnitAW",        6, 0, -1, ExynosM5ModelProcResourceSubUnits + 1}, // #6
13468  {"M5UnitAX",        4, 0, -1, ExynosM5ModelProcResourceSubUnits + 7}, // #7
13469  {"M5UnitB",         1, 0, -1, nullptr}, // #8
13470  {"M5UnitC",         2, 0, -1, nullptr}, // #9
13471  {"M5UnitD",         1, 9, 1, nullptr}, // #10, Super=M5UnitC
13472  {"M5UnitE",         2, 0, -1, nullptr}, // #11
13473  {"M5UnitF",         2, 9, -1, nullptr}, // #12, Super=M5UnitC
13474  {"M5UnitFADD",      3, 0, -1, ExynosM5ModelProcResourceSubUnits + 11}, // #13
13475  {"M5UnitFADD0",     1, 1, -1, nullptr}, // #14, Super=M5PipeF0
13476  {"M5UnitFADD1",     1, 2, -1, nullptr}, // #15, Super=M5PipeF1
13477  {"M5UnitFADD2",     1, 3, -1, nullptr}, // #16, Super=M5PipeF2
13478  {"M5UnitFCVT",      2, 0, -1, ExynosM5ModelProcResourceSubUnits + 14}, // #17
13479  {"M5UnitFCVT0",     1, 2, -1, nullptr}, // #18, Super=M5PipeF1
13480  {"M5UnitFCVT1",     1, 3, -1, nullptr}, // #19, Super=M5PipeF2
13481  {"M5UnitFDIV",      4, 0, -1, ExynosM5ModelProcResourceSubUnits + 16}, // #20
13482  {"M5UnitFDIV0",     2, 2, -1, nullptr}, // #21, Super=M5PipeF1
13483  {"M5UnitFDIV1",     2, 3, -1, nullptr}, // #22, Super=M5PipeF2
13484  {"M5UnitFMAC",      3, 0, -1, ExynosM5ModelProcResourceSubUnits + 20}, // #23
13485  {"M5UnitFMAC0",     1, 1, -1, nullptr}, // #24, Super=M5PipeF0
13486  {"M5UnitFMAC1",     1, 2, -1, nullptr}, // #25, Super=M5PipeF1
13487  {"M5UnitFMAC2",     1, 3, -1, nullptr}, // #26, Super=M5PipeF2
13488  {"M5UnitFSQR",      4, 0, -1, ExynosM5ModelProcResourceSubUnits + 23}, // #27
13489  {"M5UnitFSQR0",     2, 2, -1, nullptr}, // #28, Super=M5PipeF1
13490  {"M5UnitFSQR1",     2, 3, -1, nullptr}, // #29, Super=M5PipeF2
13491  {"M5UnitFST",       2, 0, -1, ExynosM5ModelProcResourceSubUnits + 27}, // #30
13492  {"M5UnitFST0",      1, 2, -1, nullptr}, // #31, Super=M5PipeF1
13493  {"M5UnitFST1",      1, 3, -1, nullptr}, // #32, Super=M5PipeF2
13494  {"M5UnitL",         2, 0, -1, ExynosM5ModelProcResourceSubUnits + 29}, // #33
13495  {"M5UnitL0",        1, 0, -1, nullptr}, // #34
13496  {"M5UnitL1",        1, 4, -1, nullptr}, // #35, Super=M5PipeLS
13497  {"M5UnitNALU",      3, 0, -1, ExynosM5ModelProcResourceSubUnits + 31}, // #36
13498  {"M5UnitNALU0",     1, 1, -1, nullptr}, // #37, Super=M5PipeF0
13499  {"M5UnitNALU1",     1, 2, -1, nullptr}, // #38, Super=M5PipeF1
13500  {"M5UnitNALU2",     1, 3, -1, nullptr}, // #39, Super=M5PipeF2
13501  {"M5UnitNCRY",      2, 0, -1, ExynosM5ModelProcResourceSubUnits + 34}, // #40
13502  {"M5UnitNCRY0",     1, 1, -1, nullptr}, // #41, Super=M5PipeF0
13503  {"M5UnitNCRY1",     1, 3, -1, nullptr}, // #42, Super=M5PipeF2
13504  {"M5UnitNDOT",      3, 0, -1, ExynosM5ModelProcResourceSubUnits + 36}, // #43
13505  {"M5UnitNDOT0",     1, 1, -1, nullptr}, // #44, Super=M5PipeF0
13506  {"M5UnitNDOT1",     1, 2, -1, nullptr}, // #45, Super=M5PipeF1
13507  {"M5UnitNDOT2",     1, 3, -1, nullptr}, // #46, Super=M5PipeF2
13508  {"M5UnitNHAD",      1, 1, -1, nullptr}, // #47, Super=M5PipeF0
13509  {"M5UnitNMSC",      1, 1, -1, nullptr}, // #48, Super=M5PipeF0
13510  {"M5UnitNMUL",      2, 0, -1, ExynosM5ModelProcResourceSubUnits + 39}, // #49
13511  {"M5UnitNMUL0",     1, 1, -1, nullptr}, // #50, Super=M5PipeF0
13512  {"M5UnitNMUL1",     1, 3, -1, nullptr}, // #51, Super=M5PipeF2
13513  {"M5UnitNSHF",      2, 0, -1, ExynosM5ModelProcResourceSubUnits + 41}, // #52
13514  {"M5UnitNSHF0",     1, 1, -1, nullptr}, // #53, Super=M5PipeF0
13515  {"M5UnitNSHF1",     1, 2, -1, nullptr}, // #54, Super=M5PipeF1
13516  {"M5UnitNSHT",      3, 0, -1, ExynosM5ModelProcResourceSubUnits + 43}, // #55
13517  {"M5UnitNSHT0",     1, 1, -1, nullptr}, // #56, Super=M5PipeF0
13518  {"M5UnitNSHT1",     1, 2, -1, nullptr}, // #57, Super=M5PipeF1
13519  {"M5UnitNSHT2",     1, 3, -1, nullptr}, // #58, Super=M5PipeF2
13520  {"M5UnitS",         2, 0, -1, ExynosM5ModelProcResourceSubUnits + 46}, // #59
13521  {"M5UnitS0",        1, 0, -1, nullptr}, // #60
13522  {"M5UnitS1",        1, 4, -1, nullptr}, // #61, Super=M5PipeLS
13523};
13524
13525static const llvm::MCSchedModel ExynosM5Model = {
13526  6, // IssueWidth
13527  228, // MicroOpBufferSize
13528  60, // LoopMicroOpBufferSize
13529  4, // LoadLatency
13530  MCSchedModel::DefaultHighLatency,
13531  15, // MispredictPenalty
13532  false, // PostRAScheduler
13533  true, // CompleteModel
13534  6, // Processor ID
13535  ExynosM5ModelProcResources,
13536  ExynosM5ModelSchedClasses,
13537  62,
13538  1110,
13539  nullptr, // No Itinerary
13540  nullptr // No extra processor descriptor
13541};
13542
13543static const unsigned FalkorModelProcResourceSubUnits[] = {
13544  0,  // Invalid
13545  8,   10,   // FalkorUnitVXVY
13546  11,   15,   // FalkorUnitXY
13547  11,   15,   16,   // FalkorUnitXYZ
13548  11,   15,   16,   1,   // FalkorUnitXYZB
13549  16,   1,   // FalkorUnitZB
13550};
13551
13552// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13553static const llvm::MCProcResourceDesc FalkorModelProcResources[] = {
13554  {"InvalidUnit", 0, 0, 0, 0},
13555  {"FalkorUnitB",     1, 0, -1, nullptr}, // #1
13556  {"FalkorUnitGTOV",  1, 0, -1, nullptr}, // #2
13557  {"FalkorUnitLD",    1, 0, -1, nullptr}, // #3
13558  {"FalkorUnitSD",    1, 0, -1, nullptr}, // #4
13559  {"FalkorUnitST",    1, 0, -1, nullptr}, // #5
13560  {"FalkorUnitVSD",   1, 0, -1, nullptr}, // #6
13561  {"FalkorUnitVTOG",  1, 0, -1, nullptr}, // #7
13562  {"FalkorUnitVX",    1, 0, -1, nullptr}, // #8
13563  {"FalkorUnitVXVY",  2, 0, -1, FalkorModelProcResourceSubUnits + 1}, // #9
13564  {"FalkorUnitVY",    1, 0, -1, nullptr}, // #10
13565  {"FalkorUnitX",     1, 0, -1, nullptr}, // #11
13566  {"FalkorUnitXY",    2, 0, -1, FalkorModelProcResourceSubUnits + 3}, // #12
13567  {"FalkorUnitXYZ",   3, 0, -1, FalkorModelProcResourceSubUnits + 5}, // #13
13568  {"FalkorUnitXYZB",  4, 0, -1, FalkorModelProcResourceSubUnits + 8}, // #14
13569  {"FalkorUnitY",     1, 0, -1, nullptr}, // #15
13570  {"FalkorUnitZ",     1, 0, -1, nullptr}, // #16
13571  {"FalkorUnitZB",    2, 0, -1, FalkorModelProcResourceSubUnits + 12}, // #17
13572};
13573
13574static const llvm::MCSchedModel FalkorModel = {
13575  8, // IssueWidth
13576  128, // MicroOpBufferSize
13577  16, // LoopMicroOpBufferSize
13578  3, // LoadLatency
13579  MCSchedModel::DefaultHighLatency,
13580  11, // MispredictPenalty
13581  false, // PostRAScheduler
13582  true, // CompleteModel
13583  7, // Processor ID
13584  FalkorModelProcResources,
13585  FalkorModelSchedClasses,
13586  18,
13587  1110,
13588  nullptr, // No Itinerary
13589  nullptr // No extra processor descriptor
13590};
13591
13592static const unsigned KryoModelProcResourceSubUnits[] = {
13593  0,  // Invalid
13594  2,   3,   // KryoUnitLS
13595  5,   6,   // KryoUnitX
13596  5,   6,   9,   10,   // KryoUnitXY
13597  9,   10,   // KryoUnitY
13598};
13599
13600// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13601static const llvm::MCProcResourceDesc KryoModelProcResources[] = {
13602  {"InvalidUnit", 0, 0, 0, 0},
13603  {"KryoUnitLS",      2, 0, -1, KryoModelProcResourceSubUnits + 1}, // #1
13604  {"KryoUnitLSA",     1, 0, -1, nullptr}, // #2
13605  {"KryoUnitLSB",     1, 0, -1, nullptr}, // #3
13606  {"KryoUnitX",       2, 0, -1, KryoModelProcResourceSubUnits + 3}, // #4
13607  {"KryoUnitXA",      1, 0, -1, nullptr}, // #5
13608  {"KryoUnitXB",      1, 0, -1, nullptr}, // #6
13609  {"KryoUnitXY",      4, 0, -1, KryoModelProcResourceSubUnits + 5}, // #7
13610  {"KryoUnitY",       2, 0, -1, KryoModelProcResourceSubUnits + 9}, // #8
13611  {"KryoUnitYA",      1, 0, -1, nullptr}, // #9
13612  {"KryoUnitYB",      1, 0, -1, nullptr}, // #10
13613};
13614
13615static const llvm::MCSchedModel KryoModel = {
13616  5, // IssueWidth
13617  128, // MicroOpBufferSize
13618  16, // LoopMicroOpBufferSize
13619  4, // LoadLatency
13620  MCSchedModel::DefaultHighLatency,
13621  14, // MispredictPenalty
13622  false, // PostRAScheduler
13623  true, // CompleteModel
13624  8, // Processor ID
13625  KryoModelProcResources,
13626  KryoModelSchedClasses,
13627  11,
13628  1110,
13629  nullptr, // No Itinerary
13630  nullptr // No extra processor descriptor
13631};
13632
13633static const unsigned ThunderXT8XModelProcResourceSubUnits[] = {
13634  0,  // Invalid
13635};
13636
13637// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13638static const llvm::MCProcResourceDesc ThunderXT8XModelProcResources[] = {
13639  {"InvalidUnit", 0, 0, 0, 0},
13640  {"THXT8XUnitALU",   2, 0, 0, nullptr}, // #1
13641  {"THXT8XUnitBr",    1, 0, 0, nullptr}, // #2
13642  {"THXT8XUnitDiv",   1, 0, 0, nullptr}, // #3
13643  {"THXT8XUnitFPALU", 1, 0, 0, nullptr}, // #4
13644  {"THXT8XUnitFPMDS", 1, 0, 0, nullptr}, // #5
13645  {"THXT8XUnitLdSt",  1, 0, 0, nullptr}, // #6
13646  {"THXT8XUnitMAC",   1, 0, 0, nullptr}, // #7
13647};
13648
13649static const llvm::MCSchedModel ThunderXT8XModel = {
13650  2, // IssueWidth
13651  0, // MicroOpBufferSize
13652  MCSchedModel::DefaultLoopMicroOpBufferSize,
13653  3, // LoadLatency
13654  MCSchedModel::DefaultHighLatency,
13655  8, // MispredictPenalty
13656  true, // PostRAScheduler
13657  true, // CompleteModel
13658  9, // Processor ID
13659  ThunderXT8XModelProcResources,
13660  ThunderXT8XModelSchedClasses,
13661  8,
13662  1110,
13663  nullptr, // No Itinerary
13664  nullptr // No extra processor descriptor
13665};
13666
13667static const unsigned ThunderX2T99ModelProcResourceSubUnits[] = {
13668  0,  // Invalid
13669  8,   9,   10,   11,   12,   13,   // THX2T99Any
13670  9,   // THX2T99F1
13671  8,   9,   // THX2T99F01
13672  9,   // THX2T99I1
13673  10,   // THX2T99I2
13674  8,   9,   10,   // THX2T99I012
13675  12,   13,   // THX2T99LS01
13676  11,   // THX2T99SD
13677};
13678
13679// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
13680static const llvm::MCProcResourceDesc ThunderX2T99ModelProcResources[] = {
13681  {"InvalidUnit", 0, 0, 0, 0},
13682  {"THX2T99Any",      6, 0, 60, ThunderX2T99ModelProcResourceSubUnits + 1}, // #1
13683  {"THX2T99F1",       1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 7}, // #2
13684  {"THX2T99F01",      2, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 8}, // #3
13685  {"THX2T99I1",       1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 10}, // #4
13686  {"THX2T99I2",       1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 11}, // #5
13687  {"THX2T99I012",     3, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 12}, // #6
13688  {"THX2T99LS01",     2, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 15}, // #7
13689  {"THX2T99P0",       1, 0, -1, nullptr}, // #8
13690  {"THX2T99P1",       1, 0, -1, nullptr}, // #9
13691  {"THX2T99P2",       1, 0, -1, nullptr}, // #10
13692  {"THX2T99P3",       1, 0, -1, nullptr}, // #11
13693  {"THX2T99P4",       1, 0, -1, nullptr}, // #12
13694  {"THX2T99P5",       1, 0, -1, nullptr}, // #13
13695  {"THX2T99SD",       1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 17}, // #14
13696};
13697
13698static const llvm::MCSchedModel ThunderX2T99Model = {
13699  4, // IssueWidth
13700  180, // MicroOpBufferSize
13701  128, // LoopMicroOpBufferSize
13702  4, // LoadLatency
13703  MCSchedModel::DefaultHighLatency,
13704  12, // MispredictPenalty
13705  true, // PostRAScheduler
13706  true, // CompleteModel
13707  10, // Processor ID
13708  ThunderX2T99ModelProcResources,
13709  ThunderX2T99ModelSchedClasses,
13710  15,
13711  1110,
13712  nullptr, // No Itinerary
13713  nullptr // No extra processor descriptor
13714};
13715
13716// Sorted (by key) array of values for CPU subtype.
13717extern const llvm::SubtargetSubTypeKV AArch64SubTypeKV[] = {
13718 { "apple-a10", { { { 0x0ULL, 0x0ULL, 0x800ULL, } } }, &CycloneModel },
13719 { "apple-a11", { { { 0x0ULL, 0x0ULL, 0x1000ULL, } } }, &CycloneModel },
13720 { "apple-a12", { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } }, &CycloneModel },
13721 { "apple-a13", { { { 0x0ULL, 0x0ULL, 0x4000ULL, } } }, &CycloneModel },
13722 { "apple-a7", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
13723 { "apple-a8", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
13724 { "apple-a9", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
13725 { "apple-latest", { { { 0x0ULL, 0x0ULL, 0x4000ULL, } } }, &CycloneModel },
13726 { "apple-s4", { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } }, &CycloneModel },
13727 { "apple-s5", { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } }, &CycloneModel },
13728 { "cortex-a35", { { { 0x0ULL, 0x0ULL, 0x2ULL, } } }, &CortexA53Model },
13729 { "cortex-a53", { { { 0x0ULL, 0x0ULL, 0x4ULL, } } }, &CortexA53Model },
13730 { "cortex-a55", { { { 0x0ULL, 0x0ULL, 0x8ULL, } } }, &CortexA53Model },
13731 { "cortex-a57", { { { 0x0ULL, 0x0ULL, 0x10ULL, } } }, &CortexA57Model },
13732 { "cortex-a65", { { { 0x0ULL, 0x0ULL, 0x20ULL, } } }, &CortexA53Model },
13733 { "cortex-a65ae", { { { 0x0ULL, 0x0ULL, 0x20ULL, } } }, &CortexA53Model },
13734 { "cortex-a72", { { { 0x0ULL, 0x0ULL, 0x40ULL, } } }, &CortexA57Model },
13735 { "cortex-a73", { { { 0x0ULL, 0x0ULL, 0x80ULL, } } }, &CortexA57Model },
13736 { "cortex-a75", { { { 0x0ULL, 0x0ULL, 0x100ULL, } } }, &CortexA57Model },
13737 { "cortex-a76", { { { 0x0ULL, 0x0ULL, 0x200ULL, } } }, &CortexA57Model },
13738 { "cortex-a76ae", { { { 0x0ULL, 0x0ULL, 0x200ULL, } } }, &CortexA57Model },
13739 { "cyclone", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
13740 { "exynos-m3", { { { 0x0ULL, 0x0ULL, 0x8000ULL, } } }, &ExynosM3Model },
13741 { "exynos-m4", { { { 0x0ULL, 0x0ULL, 0x10000ULL, } } }, &ExynosM4Model },
13742 { "exynos-m5", { { { 0x0ULL, 0x0ULL, 0x10000ULL, } } }, &ExynosM5Model },
13743 { "falkor", { { { 0x0ULL, 0x0ULL, 0x20000ULL, } } }, &FalkorModel },
13744 { "generic", { { { 0x181001110000000ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
13745 { "kryo", { { { 0x0ULL, 0x0ULL, 0x40000ULL, } } }, &KryoModel },
13746 { "neoverse-e1", { { { 0x0ULL, 0x0ULL, 0x80000ULL, } } }, &CortexA53Model },
13747 { "neoverse-n1", { { { 0x0ULL, 0x0ULL, 0x100000ULL, } } }, &CortexA57Model },
13748 { "saphira", { { { 0x0ULL, 0x0ULL, 0x200000ULL, } } }, &FalkorModel },
13749 { "thunderx", { { { 0x0ULL, 0x0ULL, 0x800000ULL, } } }, &ThunderXT8XModel },
13750 { "thunderx2t99", { { { 0x0ULL, 0x0ULL, 0x1000000ULL, } } }, &ThunderX2T99Model },
13751 { "thunderxt81", { { { 0x0ULL, 0x0ULL, 0x2000000ULL, } } }, &ThunderXT8XModel },
13752 { "thunderxt83", { { { 0x0ULL, 0x0ULL, 0x4000000ULL, } } }, &ThunderXT8XModel },
13753 { "thunderxt88", { { { 0x0ULL, 0x0ULL, 0x8000000ULL, } } }, &ThunderXT8XModel },
13754 { "tsv110", { { { 0x0ULL, 0x0ULL, 0x400000ULL, } } }, &CortexA57Model },
13755};
13756
13757namespace AArch64_MC {
13758unsigned resolveVariantSchedClassImpl(unsigned SchedClass,
13759    const MCInst *MI, unsigned CPUID) {
13760  switch (SchedClass) {
13761  case 4: // WriteISReg_ReadI_ReadISReg
13762    if (CPUID == 2) { // CortexA53Model
13763      if (AArch64_MC::hasShiftedReg(*MI))
13764        return 1015; // WriteISReg_ReadI_A53ReadShifted
13765      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
13766    }
13767    if (CPUID == 9) { // ThunderXT8XModel
13768      if (AArch64_MC::hasShiftedReg(*MI))
13769        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
13770      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
13771    }
13772    break;
13773  case 5: // WriteIEReg_ReadI_ReadIEReg
13774    if (CPUID == 2) { // CortexA53Model
13775      if (AArch64_MC::hasExtendedReg(*MI))
13776        return 1019; // WriteIEReg_ReadI_A53ReadShifted
13777      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
13778    }
13779    if (CPUID == 9) { // ThunderXT8XModel
13780      if (AArch64_MC::hasExtendedReg(*MI))
13781        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
13782      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
13783    }
13784    break;
13785  case 14: // WriteExtr_ReadExtrHi
13786    if (CPUID == 4) { // ExynosM3Model
13787      if ((
13788            (
13789              MI->getOpcode() == AArch64::EXTRWrri
13790              || MI->getOpcode() == AArch64::EXTRXrri
13791            )
13792            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
13793          ))
13794        return 1023; // M3WriteA1_ReadExtrHi
13795      return 1024; // M3WriteAA_ReadExtrHi
13796    }
13797    if (CPUID == 5) { // ExynosM4Model
13798      if ((
13799            (
13800              MI->getOpcode() == AArch64::EXTRWrri
13801              || MI->getOpcode() == AArch64::EXTRXrri
13802            )
13803            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
13804          ))
13805        return 1025; // M4WriteA1_ReadExtrHi
13806      return 1026; // M4WriteAF_ReadExtrHi
13807    }
13808    if (CPUID == 6) { // ExynosM5Model
13809      if ((
13810            (
13811              MI->getOpcode() == AArch64::EXTRWrri
13812              || MI->getOpcode() == AArch64::EXTRXrri
13813            )
13814            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
13815          ))
13816        return 1027; // M5WriteA1W_ReadExtrHi
13817      return 1028; // M5WriteAFW_ReadExtrHi
13818    }
13819    break;
13820  case 28: // WriteLDIdx_ReadAdrBase
13821    if (CPUID == 1) { // CycloneModel
13822      if (AArch64_MC::isScaledAddr(*MI))
13823        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
13824      return 1030; // WriteLD_ReadDefault
13825    }
13826    if (CPUID == 5) { // ExynosM4Model
13827      if (AArch64_MC::isExynosScaledAddr(*MI)
13828          && (
13829            AArch64_MC::isScaledAddr(*MI)
13830            || AArch64_MC::isExynosScaledAddr(*MI)
13831          ))
13832        return 1031; // M4WriteL5_ReadDefault
13833      if ((
13834            AArch64_MC::isScaledAddr(*MI)
13835            || AArch64_MC::isExynosScaledAddr(*MI)
13836          ))
13837        return 1032; // M4WriteL4_ReadDefault
13838      return 1032; // M4WriteL4_ReadDefault
13839    }
13840    if (CPUID == 6) { // ExynosM5Model
13841      if (AArch64_MC::isExynosScaledAddr(*MI)
13842          && (
13843            AArch64_MC::isScaledAddr(*MI)
13844            || AArch64_MC::isExynosScaledAddr(*MI)
13845          ))
13846        return 1033; // M5WriteL5_ReadDefault
13847      if ((
13848            AArch64_MC::isScaledAddr(*MI)
13849            || AArch64_MC::isExynosScaledAddr(*MI)
13850          ))
13851        return 1034; // M5WriteL4_ReadDefault
13852      return 1034; // M5WriteL4_ReadDefault
13853    }
13854    if (CPUID == 10) { // ThunderX2T99Model
13855      if (AArch64_MC::isScaledAddr(*MI))
13856        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
13857      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
13858    }
13859    break;
13860  case 41: // WriteSTIdx_ReadAdrBase
13861    if (CPUID == 1) { // CycloneModel
13862      if (AArch64_MC::isScaledAddr(*MI))
13863        return 1037; // (WriteIS_WriteST)_ReadBaseRS
13864      return 1038; // WriteST_ReadDefault
13865    }
13866    if (CPUID == 5) { // ExynosM4Model
13867      if (AArch64_MC::isExynosScaledAddr(*MI)
13868          && (
13869            AArch64_MC::isScaledAddr(*MI)
13870            || AArch64_MC::isExynosScaledAddr(*MI)
13871          ))
13872        return 1039; // M4WriteSB_ReadDefault
13873      if ((
13874            AArch64_MC::isScaledAddr(*MI)
13875            || AArch64_MC::isExynosScaledAddr(*MI)
13876          ))
13877        return 1040; // M4WriteS1_ReadDefault
13878      return 1040; // M4WriteS1_ReadDefault
13879    }
13880    if (CPUID == 6) { // ExynosM5Model
13881      if (AArch64_MC::isExynosScaledAddr(*MI)
13882          && (
13883            AArch64_MC::isScaledAddr(*MI)
13884            || AArch64_MC::isExynosScaledAddr(*MI)
13885          ))
13886        return 1041; // M5WriteSB_ReadDefault
13887      if ((
13888            AArch64_MC::isScaledAddr(*MI)
13889            || AArch64_MC::isExynosScaledAddr(*MI)
13890          ))
13891        return 1042; // M5WriteS1_ReadDefault
13892      return 1042; // M5WriteS1_ReadDefault
13893    }
13894    break;
13895  case 43: // COPY
13896    if (CPUID == 1) { // CycloneModel
13897      return 1044; // WriteI
13898    }
13899    if (CPUID == 4) { // ExynosM3Model
13900      if ((
13901            (
13902              MI->getOperand(0).isReg()
13903              && (
13904                MI->getOperand(0).getReg() == AArch64::H0
13905                || MI->getOperand(0).getReg() == AArch64::H1
13906                || MI->getOperand(0).getReg() == AArch64::H2
13907                || MI->getOperand(0).getReg() == AArch64::H3
13908                || MI->getOperand(0).getReg() == AArch64::H4
13909                || MI->getOperand(0).getReg() == AArch64::H5
13910                || MI->getOperand(0).getReg() == AArch64::H6
13911                || MI->getOperand(0).getReg() == AArch64::H7
13912                || MI->getOperand(0).getReg() == AArch64::H8
13913                || MI->getOperand(0).getReg() == AArch64::H9
13914                || MI->getOperand(0).getReg() == AArch64::H10
13915                || MI->getOperand(0).getReg() == AArch64::H11
13916                || MI->getOperand(0).getReg() == AArch64::H12
13917                || MI->getOperand(0).getReg() == AArch64::H13
13918                || MI->getOperand(0).getReg() == AArch64::H14
13919                || MI->getOperand(0).getReg() == AArch64::H15
13920                || MI->getOperand(0).getReg() == AArch64::H16
13921                || MI->getOperand(0).getReg() == AArch64::H17
13922                || MI->getOperand(0).getReg() == AArch64::H18
13923                || MI->getOperand(0).getReg() == AArch64::H19
13924                || MI->getOperand(0).getReg() == AArch64::H20
13925                || MI->getOperand(0).getReg() == AArch64::H21
13926                || MI->getOperand(0).getReg() == AArch64::H22
13927                || MI->getOperand(0).getReg() == AArch64::H23
13928                || MI->getOperand(0).getReg() == AArch64::H24
13929                || MI->getOperand(0).getReg() == AArch64::H25
13930                || MI->getOperand(0).getReg() == AArch64::H26
13931                || MI->getOperand(0).getReg() == AArch64::H27
13932                || MI->getOperand(0).getReg() == AArch64::H28
13933                || MI->getOperand(0).getReg() == AArch64::H29
13934                || MI->getOperand(0).getReg() == AArch64::H30
13935                || MI->getOperand(0).getReg() == AArch64::H31
13936              )
13937            )
13938            || (
13939              MI->getOperand(0).isReg()
13940              && (
13941                MI->getOperand(0).getReg() == AArch64::S0
13942                || MI->getOperand(0).getReg() == AArch64::S1
13943                || MI->getOperand(0).getReg() == AArch64::S2
13944                || MI->getOperand(0).getReg() == AArch64::S3
13945                || MI->getOperand(0).getReg() == AArch64::S4
13946                || MI->getOperand(0).getReg() == AArch64::S5
13947                || MI->getOperand(0).getReg() == AArch64::S6
13948                || MI->getOperand(0).getReg() == AArch64::S7
13949                || MI->getOperand(0).getReg() == AArch64::S8
13950                || MI->getOperand(0).getReg() == AArch64::S9
13951                || MI->getOperand(0).getReg() == AArch64::S10
13952                || MI->getOperand(0).getReg() == AArch64::S11
13953                || MI->getOperand(0).getReg() == AArch64::S12
13954                || MI->getOperand(0).getReg() == AArch64::S13
13955                || MI->getOperand(0).getReg() == AArch64::S14
13956                || MI->getOperand(0).getReg() == AArch64::S15
13957                || MI->getOperand(0).getReg() == AArch64::S16
13958                || MI->getOperand(0).getReg() == AArch64::S17
13959                || MI->getOperand(0).getReg() == AArch64::S18
13960                || MI->getOperand(0).getReg() == AArch64::S19
13961                || MI->getOperand(0).getReg() == AArch64::S20
13962                || MI->getOperand(0).getReg() == AArch64::S21
13963                || MI->getOperand(0).getReg() == AArch64::S22
13964                || MI->getOperand(0).getReg() == AArch64::S23
13965                || MI->getOperand(0).getReg() == AArch64::S24
13966                || MI->getOperand(0).getReg() == AArch64::S25
13967                || MI->getOperand(0).getReg() == AArch64::S26
13968                || MI->getOperand(0).getReg() == AArch64::S27
13969                || MI->getOperand(0).getReg() == AArch64::S28
13970                || MI->getOperand(0).getReg() == AArch64::S29
13971                || MI->getOperand(0).getReg() == AArch64::S30
13972                || MI->getOperand(0).getReg() == AArch64::S31
13973              )
13974            )
13975            || (
13976              MI->getOperand(0).isReg()
13977              && (
13978                MI->getOperand(0).getReg() == AArch64::D0
13979                || MI->getOperand(0).getReg() == AArch64::D1
13980                || MI->getOperand(0).getReg() == AArch64::D2
13981                || MI->getOperand(0).getReg() == AArch64::D3
13982                || MI->getOperand(0).getReg() == AArch64::D4
13983                || MI->getOperand(0).getReg() == AArch64::D5
13984                || MI->getOperand(0).getReg() == AArch64::D6
13985                || MI->getOperand(0).getReg() == AArch64::D7
13986                || MI->getOperand(0).getReg() == AArch64::D8
13987                || MI->getOperand(0).getReg() == AArch64::D9
13988                || MI->getOperand(0).getReg() == AArch64::D10
13989                || MI->getOperand(0).getReg() == AArch64::D11
13990                || MI->getOperand(0).getReg() == AArch64::D12
13991                || MI->getOperand(0).getReg() == AArch64::D13
13992                || MI->getOperand(0).getReg() == AArch64::D14
13993                || MI->getOperand(0).getReg() == AArch64::D15
13994                || MI->getOperand(0).getReg() == AArch64::D16
13995                || MI->getOperand(0).getReg() == AArch64::D17
13996                || MI->getOperand(0).getReg() == AArch64::D18
13997                || MI->getOperand(0).getReg() == AArch64::D19
13998                || MI->getOperand(0).getReg() == AArch64::D20
13999                || MI->getOperand(0).getReg() == AArch64::D21
14000                || MI->getOperand(0).getReg() == AArch64::D22
14001                || MI->getOperand(0).getReg() == AArch64::D23
14002                || MI->getOperand(0).getReg() == AArch64::D24
14003                || MI->getOperand(0).getReg() == AArch64::D25
14004                || MI->getOperand(0).getReg() == AArch64::D26
14005                || MI->getOperand(0).getReg() == AArch64::D27
14006                || MI->getOperand(0).getReg() == AArch64::D28
14007                || MI->getOperand(0).getReg() == AArch64::D29
14008                || MI->getOperand(0).getReg() == AArch64::D30
14009                || MI->getOperand(0).getReg() == AArch64::D31
14010              )
14011            )
14012            || (
14013              MI->getOperand(0).isReg()
14014              && (
14015                MI->getOperand(0).getReg() == AArch64::Q0
14016                || MI->getOperand(0).getReg() == AArch64::Q1
14017                || MI->getOperand(0).getReg() == AArch64::Q2
14018                || MI->getOperand(0).getReg() == AArch64::Q3
14019                || MI->getOperand(0).getReg() == AArch64::Q4
14020                || MI->getOperand(0).getReg() == AArch64::Q5
14021                || MI->getOperand(0).getReg() == AArch64::Q6
14022                || MI->getOperand(0).getReg() == AArch64::Q7
14023                || MI->getOperand(0).getReg() == AArch64::Q8
14024                || MI->getOperand(0).getReg() == AArch64::Q9
14025                || MI->getOperand(0).getReg() == AArch64::Q10
14026                || MI->getOperand(0).getReg() == AArch64::Q11
14027                || MI->getOperand(0).getReg() == AArch64::Q12
14028                || MI->getOperand(0).getReg() == AArch64::Q13
14029                || MI->getOperand(0).getReg() == AArch64::Q14
14030                || MI->getOperand(0).getReg() == AArch64::Q15
14031                || MI->getOperand(0).getReg() == AArch64::Q16
14032                || MI->getOperand(0).getReg() == AArch64::Q17
14033                || MI->getOperand(0).getReg() == AArch64::Q18
14034                || MI->getOperand(0).getReg() == AArch64::Q19
14035                || MI->getOperand(0).getReg() == AArch64::Q20
14036                || MI->getOperand(0).getReg() == AArch64::Q21
14037                || MI->getOperand(0).getReg() == AArch64::Q22
14038                || MI->getOperand(0).getReg() == AArch64::Q23
14039                || MI->getOperand(0).getReg() == AArch64::Q24
14040                || MI->getOperand(0).getReg() == AArch64::Q25
14041                || MI->getOperand(0).getReg() == AArch64::Q26
14042                || MI->getOperand(0).getReg() == AArch64::Q27
14043                || MI->getOperand(0).getReg() == AArch64::Q28
14044                || MI->getOperand(0).getReg() == AArch64::Q29
14045                || MI->getOperand(0).getReg() == AArch64::Q30
14046                || MI->getOperand(0).getReg() == AArch64::Q31
14047              )
14048            )
14049          ))
14050        return 1045; // M3WriteNALU1
14051      return 1046; // M3WriteZ0
14052    }
14053    if (CPUID == 5) { // ExynosM4Model
14054      if ((
14055            (
14056              MI->getOperand(0).isReg()
14057              && (
14058                MI->getOperand(0).getReg() == AArch64::H0
14059                || MI->getOperand(0).getReg() == AArch64::H1
14060                || MI->getOperand(0).getReg() == AArch64::H2
14061                || MI->getOperand(0).getReg() == AArch64::H3
14062                || MI->getOperand(0).getReg() == AArch64::H4
14063                || MI->getOperand(0).getReg() == AArch64::H5
14064                || MI->getOperand(0).getReg() == AArch64::H6
14065                || MI->getOperand(0).getReg() == AArch64::H7
14066                || MI->getOperand(0).getReg() == AArch64::H8
14067                || MI->getOperand(0).getReg() == AArch64::H9
14068                || MI->getOperand(0).getReg() == AArch64::H10
14069                || MI->getOperand(0).getReg() == AArch64::H11
14070                || MI->getOperand(0).getReg() == AArch64::H12
14071                || MI->getOperand(0).getReg() == AArch64::H13
14072                || MI->getOperand(0).getReg() == AArch64::H14
14073                || MI->getOperand(0).getReg() == AArch64::H15
14074                || MI->getOperand(0).getReg() == AArch64::H16
14075                || MI->getOperand(0).getReg() == AArch64::H17
14076                || MI->getOperand(0).getReg() == AArch64::H18
14077                || MI->getOperand(0).getReg() == AArch64::H19
14078                || MI->getOperand(0).getReg() == AArch64::H20
14079                || MI->getOperand(0).getReg() == AArch64::H21
14080                || MI->getOperand(0).getReg() == AArch64::H22
14081                || MI->getOperand(0).getReg() == AArch64::H23
14082                || MI->getOperand(0).getReg() == AArch64::H24
14083                || MI->getOperand(0).getReg() == AArch64::H25
14084                || MI->getOperand(0).getReg() == AArch64::H26
14085                || MI->getOperand(0).getReg() == AArch64::H27
14086                || MI->getOperand(0).getReg() == AArch64::H28
14087                || MI->getOperand(0).getReg() == AArch64::H29
14088                || MI->getOperand(0).getReg() == AArch64::H30
14089                || MI->getOperand(0).getReg() == AArch64::H31
14090              )
14091            )
14092            || (
14093              MI->getOperand(0).isReg()
14094              && (
14095                MI->getOperand(0).getReg() == AArch64::S0
14096                || MI->getOperand(0).getReg() == AArch64::S1
14097                || MI->getOperand(0).getReg() == AArch64::S2
14098                || MI->getOperand(0).getReg() == AArch64::S3
14099                || MI->getOperand(0).getReg() == AArch64::S4
14100                || MI->getOperand(0).getReg() == AArch64::S5
14101                || MI->getOperand(0).getReg() == AArch64::S6
14102                || MI->getOperand(0).getReg() == AArch64::S7
14103                || MI->getOperand(0).getReg() == AArch64::S8
14104                || MI->getOperand(0).getReg() == AArch64::S9
14105                || MI->getOperand(0).getReg() == AArch64::S10
14106                || MI->getOperand(0).getReg() == AArch64::S11
14107                || MI->getOperand(0).getReg() == AArch64::S12
14108                || MI->getOperand(0).getReg() == AArch64::S13
14109                || MI->getOperand(0).getReg() == AArch64::S14
14110                || MI->getOperand(0).getReg() == AArch64::S15
14111                || MI->getOperand(0).getReg() == AArch64::S16
14112                || MI->getOperand(0).getReg() == AArch64::S17
14113                || MI->getOperand(0).getReg() == AArch64::S18
14114                || MI->getOperand(0).getReg() == AArch64::S19
14115                || MI->getOperand(0).getReg() == AArch64::S20
14116                || MI->getOperand(0).getReg() == AArch64::S21
14117                || MI->getOperand(0).getReg() == AArch64::S22
14118                || MI->getOperand(0).getReg() == AArch64::S23
14119                || MI->getOperand(0).getReg() == AArch64::S24
14120                || MI->getOperand(0).getReg() == AArch64::S25
14121                || MI->getOperand(0).getReg() == AArch64::S26
14122                || MI->getOperand(0).getReg() == AArch64::S27
14123                || MI->getOperand(0).getReg() == AArch64::S28
14124                || MI->getOperand(0).getReg() == AArch64::S29
14125                || MI->getOperand(0).getReg() == AArch64::S30
14126                || MI->getOperand(0).getReg() == AArch64::S31
14127              )
14128            )
14129            || (
14130              MI->getOperand(0).isReg()
14131              && (
14132                MI->getOperand(0).getReg() == AArch64::D0
14133                || MI->getOperand(0).getReg() == AArch64::D1
14134                || MI->getOperand(0).getReg() == AArch64::D2
14135                || MI->getOperand(0).getReg() == AArch64::D3
14136                || MI->getOperand(0).getReg() == AArch64::D4
14137                || MI->getOperand(0).getReg() == AArch64::D5
14138                || MI->getOperand(0).getReg() == AArch64::D6
14139                || MI->getOperand(0).getReg() == AArch64::D7
14140                || MI->getOperand(0).getReg() == AArch64::D8
14141                || MI->getOperand(0).getReg() == AArch64::D9
14142                || MI->getOperand(0).getReg() == AArch64::D10
14143                || MI->getOperand(0).getReg() == AArch64::D11
14144                || MI->getOperand(0).getReg() == AArch64::D12
14145                || MI->getOperand(0).getReg() == AArch64::D13
14146                || MI->getOperand(0).getReg() == AArch64::D14
14147                || MI->getOperand(0).getReg() == AArch64::D15
14148                || MI->getOperand(0).getReg() == AArch64::D16
14149                || MI->getOperand(0).getReg() == AArch64::D17
14150                || MI->getOperand(0).getReg() == AArch64::D18
14151                || MI->getOperand(0).getReg() == AArch64::D19
14152                || MI->getOperand(0).getReg() == AArch64::D20
14153                || MI->getOperand(0).getReg() == AArch64::D21
14154                || MI->getOperand(0).getReg() == AArch64::D22
14155                || MI->getOperand(0).getReg() == AArch64::D23
14156                || MI->getOperand(0).getReg() == AArch64::D24
14157                || MI->getOperand(0).getReg() == AArch64::D25
14158                || MI->getOperand(0).getReg() == AArch64::D26
14159                || MI->getOperand(0).getReg() == AArch64::D27
14160                || MI->getOperand(0).getReg() == AArch64::D28
14161                || MI->getOperand(0).getReg() == AArch64::D29
14162                || MI->getOperand(0).getReg() == AArch64::D30
14163                || MI->getOperand(0).getReg() == AArch64::D31
14164              )
14165            )
14166            || (
14167              MI->getOperand(0).isReg()
14168              && (
14169                MI->getOperand(0).getReg() == AArch64::Q0
14170                || MI->getOperand(0).getReg() == AArch64::Q1
14171                || MI->getOperand(0).getReg() == AArch64::Q2
14172                || MI->getOperand(0).getReg() == AArch64::Q3
14173                || MI->getOperand(0).getReg() == AArch64::Q4
14174                || MI->getOperand(0).getReg() == AArch64::Q5
14175                || MI->getOperand(0).getReg() == AArch64::Q6
14176                || MI->getOperand(0).getReg() == AArch64::Q7
14177                || MI->getOperand(0).getReg() == AArch64::Q8
14178                || MI->getOperand(0).getReg() == AArch64::Q9
14179                || MI->getOperand(0).getReg() == AArch64::Q10
14180                || MI->getOperand(0).getReg() == AArch64::Q11
14181                || MI->getOperand(0).getReg() == AArch64::Q12
14182                || MI->getOperand(0).getReg() == AArch64::Q13
14183                || MI->getOperand(0).getReg() == AArch64::Q14
14184                || MI->getOperand(0).getReg() == AArch64::Q15
14185                || MI->getOperand(0).getReg() == AArch64::Q16
14186                || MI->getOperand(0).getReg() == AArch64::Q17
14187                || MI->getOperand(0).getReg() == AArch64::Q18
14188                || MI->getOperand(0).getReg() == AArch64::Q19
14189                || MI->getOperand(0).getReg() == AArch64::Q20
14190                || MI->getOperand(0).getReg() == AArch64::Q21
14191                || MI->getOperand(0).getReg() == AArch64::Q22
14192                || MI->getOperand(0).getReg() == AArch64::Q23
14193                || MI->getOperand(0).getReg() == AArch64::Q24
14194                || MI->getOperand(0).getReg() == AArch64::Q25
14195                || MI->getOperand(0).getReg() == AArch64::Q26
14196                || MI->getOperand(0).getReg() == AArch64::Q27
14197                || MI->getOperand(0).getReg() == AArch64::Q28
14198                || MI->getOperand(0).getReg() == AArch64::Q29
14199                || MI->getOperand(0).getReg() == AArch64::Q30
14200                || MI->getOperand(0).getReg() == AArch64::Q31
14201              )
14202            )
14203          ))
14204        return 1047; // M4WriteNALU1
14205      return 1048; // M4WriteZ0
14206    }
14207    if (CPUID == 6) { // ExynosM5Model
14208      if ((
14209            (
14210              MI->getOperand(0).isReg()
14211              && (
14212                MI->getOperand(0).getReg() == AArch64::H0
14213                || MI->getOperand(0).getReg() == AArch64::H1
14214                || MI->getOperand(0).getReg() == AArch64::H2
14215                || MI->getOperand(0).getReg() == AArch64::H3
14216                || MI->getOperand(0).getReg() == AArch64::H4
14217                || MI->getOperand(0).getReg() == AArch64::H5
14218                || MI->getOperand(0).getReg() == AArch64::H6
14219                || MI->getOperand(0).getReg() == AArch64::H7
14220                || MI->getOperand(0).getReg() == AArch64::H8
14221                || MI->getOperand(0).getReg() == AArch64::H9
14222                || MI->getOperand(0).getReg() == AArch64::H10
14223                || MI->getOperand(0).getReg() == AArch64::H11
14224                || MI->getOperand(0).getReg() == AArch64::H12
14225                || MI->getOperand(0).getReg() == AArch64::H13
14226                || MI->getOperand(0).getReg() == AArch64::H14
14227                || MI->getOperand(0).getReg() == AArch64::H15
14228                || MI->getOperand(0).getReg() == AArch64::H16
14229                || MI->getOperand(0).getReg() == AArch64::H17
14230                || MI->getOperand(0).getReg() == AArch64::H18
14231                || MI->getOperand(0).getReg() == AArch64::H19
14232                || MI->getOperand(0).getReg() == AArch64::H20
14233                || MI->getOperand(0).getReg() == AArch64::H21
14234                || MI->getOperand(0).getReg() == AArch64::H22
14235                || MI->getOperand(0).getReg() == AArch64::H23
14236                || MI->getOperand(0).getReg() == AArch64::H24
14237                || MI->getOperand(0).getReg() == AArch64::H25
14238                || MI->getOperand(0).getReg() == AArch64::H26
14239                || MI->getOperand(0).getReg() == AArch64::H27
14240                || MI->getOperand(0).getReg() == AArch64::H28
14241                || MI->getOperand(0).getReg() == AArch64::H29
14242                || MI->getOperand(0).getReg() == AArch64::H30
14243                || MI->getOperand(0).getReg() == AArch64::H31
14244              )
14245            )
14246            || (
14247              MI->getOperand(0).isReg()
14248              && (
14249                MI->getOperand(0).getReg() == AArch64::S0
14250                || MI->getOperand(0).getReg() == AArch64::S1
14251                || MI->getOperand(0).getReg() == AArch64::S2
14252                || MI->getOperand(0).getReg() == AArch64::S3
14253                || MI->getOperand(0).getReg() == AArch64::S4
14254                || MI->getOperand(0).getReg() == AArch64::S5
14255                || MI->getOperand(0).getReg() == AArch64::S6
14256                || MI->getOperand(0).getReg() == AArch64::S7
14257                || MI->getOperand(0).getReg() == AArch64::S8
14258                || MI->getOperand(0).getReg() == AArch64::S9
14259                || MI->getOperand(0).getReg() == AArch64::S10
14260                || MI->getOperand(0).getReg() == AArch64::S11
14261                || MI->getOperand(0).getReg() == AArch64::S12
14262                || MI->getOperand(0).getReg() == AArch64::S13
14263                || MI->getOperand(0).getReg() == AArch64::S14
14264                || MI->getOperand(0).getReg() == AArch64::S15
14265                || MI->getOperand(0).getReg() == AArch64::S16
14266                || MI->getOperand(0).getReg() == AArch64::S17
14267                || MI->getOperand(0).getReg() == AArch64::S18
14268                || MI->getOperand(0).getReg() == AArch64::S19
14269                || MI->getOperand(0).getReg() == AArch64::S20
14270                || MI->getOperand(0).getReg() == AArch64::S21
14271                || MI->getOperand(0).getReg() == AArch64::S22
14272                || MI->getOperand(0).getReg() == AArch64::S23
14273                || MI->getOperand(0).getReg() == AArch64::S24
14274                || MI->getOperand(0).getReg() == AArch64::S25
14275                || MI->getOperand(0).getReg() == AArch64::S26
14276                || MI->getOperand(0).getReg() == AArch64::S27
14277                || MI->getOperand(0).getReg() == AArch64::S28
14278                || MI->getOperand(0).getReg() == AArch64::S29
14279                || MI->getOperand(0).getReg() == AArch64::S30
14280                || MI->getOperand(0).getReg() == AArch64::S31
14281              )
14282            )
14283            || (
14284              MI->getOperand(0).isReg()
14285              && (
14286                MI->getOperand(0).getReg() == AArch64::D0
14287                || MI->getOperand(0).getReg() == AArch64::D1
14288                || MI->getOperand(0).getReg() == AArch64::D2
14289                || MI->getOperand(0).getReg() == AArch64::D3
14290                || MI->getOperand(0).getReg() == AArch64::D4
14291                || MI->getOperand(0).getReg() == AArch64::D5
14292                || MI->getOperand(0).getReg() == AArch64::D6
14293                || MI->getOperand(0).getReg() == AArch64::D7
14294                || MI->getOperand(0).getReg() == AArch64::D8
14295                || MI->getOperand(0).getReg() == AArch64::D9
14296                || MI->getOperand(0).getReg() == AArch64::D10
14297                || MI->getOperand(0).getReg() == AArch64::D11
14298                || MI->getOperand(0).getReg() == AArch64::D12
14299                || MI->getOperand(0).getReg() == AArch64::D13
14300                || MI->getOperand(0).getReg() == AArch64::D14
14301                || MI->getOperand(0).getReg() == AArch64::D15
14302                || MI->getOperand(0).getReg() == AArch64::D16
14303                || MI->getOperand(0).getReg() == AArch64::D17
14304                || MI->getOperand(0).getReg() == AArch64::D18
14305                || MI->getOperand(0).getReg() == AArch64::D19
14306                || MI->getOperand(0).getReg() == AArch64::D20
14307                || MI->getOperand(0).getReg() == AArch64::D21
14308                || MI->getOperand(0).getReg() == AArch64::D22
14309                || MI->getOperand(0).getReg() == AArch64::D23
14310                || MI->getOperand(0).getReg() == AArch64::D24
14311                || MI->getOperand(0).getReg() == AArch64::D25
14312                || MI->getOperand(0).getReg() == AArch64::D26
14313                || MI->getOperand(0).getReg() == AArch64::D27
14314                || MI->getOperand(0).getReg() == AArch64::D28
14315                || MI->getOperand(0).getReg() == AArch64::D29
14316                || MI->getOperand(0).getReg() == AArch64::D30
14317                || MI->getOperand(0).getReg() == AArch64::D31
14318              )
14319            )
14320            || (
14321              MI->getOperand(0).isReg()
14322              && (
14323                MI->getOperand(0).getReg() == AArch64::Q0
14324                || MI->getOperand(0).getReg() == AArch64::Q1
14325                || MI->getOperand(0).getReg() == AArch64::Q2
14326                || MI->getOperand(0).getReg() == AArch64::Q3
14327                || MI->getOperand(0).getReg() == AArch64::Q4
14328                || MI->getOperand(0).getReg() == AArch64::Q5
14329                || MI->getOperand(0).getReg() == AArch64::Q6
14330                || MI->getOperand(0).getReg() == AArch64::Q7
14331                || MI->getOperand(0).getReg() == AArch64::Q8
14332                || MI->getOperand(0).getReg() == AArch64::Q9
14333                || MI->getOperand(0).getReg() == AArch64::Q10
14334                || MI->getOperand(0).getReg() == AArch64::Q11
14335                || MI->getOperand(0).getReg() == AArch64::Q12
14336                || MI->getOperand(0).getReg() == AArch64::Q13
14337                || MI->getOperand(0).getReg() == AArch64::Q14
14338                || MI->getOperand(0).getReg() == AArch64::Q15
14339                || MI->getOperand(0).getReg() == AArch64::Q16
14340                || MI->getOperand(0).getReg() == AArch64::Q17
14341                || MI->getOperand(0).getReg() == AArch64::Q18
14342                || MI->getOperand(0).getReg() == AArch64::Q19
14343                || MI->getOperand(0).getReg() == AArch64::Q20
14344                || MI->getOperand(0).getReg() == AArch64::Q21
14345                || MI->getOperand(0).getReg() == AArch64::Q22
14346                || MI->getOperand(0).getReg() == AArch64::Q23
14347                || MI->getOperand(0).getReg() == AArch64::Q24
14348                || MI->getOperand(0).getReg() == AArch64::Q25
14349                || MI->getOperand(0).getReg() == AArch64::Q26
14350                || MI->getOperand(0).getReg() == AArch64::Q27
14351                || MI->getOperand(0).getReg() == AArch64::Q28
14352                || MI->getOperand(0).getReg() == AArch64::Q29
14353                || MI->getOperand(0).getReg() == AArch64::Q30
14354                || MI->getOperand(0).getReg() == AArch64::Q31
14355              )
14356            )
14357          ))
14358        return 1049; // M5WriteNALU2
14359      return 1050; // M5WriteZ0
14360    }
14361    break;
14362  case 118: // BLR
14363    if (CPUID == 4) { // ExynosM3Model
14364      if ((
14365            ( MI->getOpcode() == AArch64::BLR )
14366            && MI->getOperand(0).getReg() == AArch64::LR
14367          ))
14368        return 1051; // M3WriteAC
14369      return 1052; // M3WriteAB
14370    }
14371    if (CPUID == 5) { // ExynosM4Model
14372      if ((
14373            ( MI->getOpcode() == AArch64::BLR )
14374            && MI->getOperand(0).getReg() == AArch64::LR
14375          ))
14376        return 1053; // M4WriteAC
14377      return 1054; // M4WriteAB
14378    }
14379    if (CPUID == 6) { // ExynosM5Model
14380      if ((
14381            ( MI->getOpcode() == AArch64::BLR )
14382            && MI->getOperand(0).getReg() == AArch64::LR
14383          ))
14384        return 1055; // M5WriteAC
14385      return 1056; // M5WriteAB
14386    }
14387    break;
14388  case 119: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs
14389    if (CPUID == 2) { // CortexA53Model
14390      if (AArch64_MC::hasShiftedReg(*MI))
14391        return 1015; // WriteISReg_ReadI_A53ReadShifted
14392      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
14393    }
14394    if (CPUID == 3) { // CortexA57Model
14395      if (AArch64_MC::hasShiftedReg(*MI))
14396        return 1057; // WriteISReg
14397      return 1044; // WriteI
14398    }
14399    if (CPUID == 4) { // ExynosM3Model
14400      if (AArch64_MC::isExynosArithFast(*MI))
14401        return 1060; // M3WriteA1
14402      if (AArch64_MC::isExynosLogicFast(*MI))
14403        return 1060; // M3WriteA1
14404      return 1061; // M3WriteAA
14405    }
14406    if (CPUID == 5) { // ExynosM4Model
14407      if (AArch64_MC::isExynosArithFast(*MI))
14408        return 1062; // M4WriteA1
14409      if (AArch64_MC::isExynosLogicExFast(*MI))
14410        return 1062; // M4WriteA1
14411      return 1063; // M4WriteAA
14412    }
14413    if (CPUID == 6) { // ExynosM5Model
14414      if (AArch64_MC::isExynosArithFast(*MI))
14415        return 1064; // M5WriteA1X
14416      if (AArch64_MC::isExynosLogicExFast(*MI))
14417        return 1064; // M5WriteA1X
14418      return 1065; // M5WriteAAX
14419    }
14420    if (CPUID == 7) { // FalkorModel
14421      return 1059; // FalkorWr_2XYZ_2cyc
14422    }
14423    if (CPUID == 9) { // ThunderXT8XModel
14424      if (AArch64_MC::hasShiftedReg(*MI))
14425        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
14426      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
14427    }
14428    break;
14429  case 121: // EXTRWrri
14430    if (CPUID == 4) { // ExynosM3Model
14431      if ((
14432            (
14433              MI->getOpcode() == AArch64::EXTRWrri
14434              || MI->getOpcode() == AArch64::EXTRXrri
14435            )
14436            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14437          ))
14438        return 1023; // M3WriteA1_ReadExtrHi
14439      return 1024; // M3WriteAA_ReadExtrHi
14440    }
14441    if (CPUID == 5) { // ExynosM4Model
14442      if ((
14443            (
14444              MI->getOpcode() == AArch64::EXTRWrri
14445              || MI->getOpcode() == AArch64::EXTRXrri
14446            )
14447            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14448          ))
14449        return 1025; // M4WriteA1_ReadExtrHi
14450      return 1026; // M4WriteAF_ReadExtrHi
14451    }
14452    if (CPUID == 6) { // ExynosM5Model
14453      if ((
14454            (
14455              MI->getOpcode() == AArch64::EXTRWrri
14456              || MI->getOpcode() == AArch64::EXTRXrri
14457            )
14458            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14459          ))
14460        return 1066; // M5WriteA1W
14461      return 1067; // M5WriteAFW
14462      if ((
14463            (
14464              MI->getOpcode() == AArch64::EXTRWrri
14465              || MI->getOpcode() == AArch64::EXTRXrri
14466            )
14467            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14468          ))
14469        return 1027; // M5WriteA1W_ReadExtrHi
14470      return 1028; // M5WriteAFW_ReadExtrHi
14471    }
14472    break;
14473  case 122: // EXTRXrri
14474    if (CPUID == 4) { // ExynosM3Model
14475      if ((
14476            (
14477              MI->getOpcode() == AArch64::EXTRWrri
14478              || MI->getOpcode() == AArch64::EXTRXrri
14479            )
14480            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14481          ))
14482        return 1023; // M3WriteA1_ReadExtrHi
14483      return 1024; // M3WriteAA_ReadExtrHi
14484    }
14485    if (CPUID == 5) { // ExynosM4Model
14486      if ((
14487            (
14488              MI->getOpcode() == AArch64::EXTRWrri
14489              || MI->getOpcode() == AArch64::EXTRXrri
14490            )
14491            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14492          ))
14493        return 1025; // M4WriteA1_ReadExtrHi
14494      return 1026; // M4WriteAF_ReadExtrHi
14495    }
14496    if (CPUID == 6) { // ExynosM5Model
14497      if ((
14498            (
14499              MI->getOpcode() == AArch64::EXTRWrri
14500              || MI->getOpcode() == AArch64::EXTRXrri
14501            )
14502            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14503          ))
14504        return 1064; // M5WriteA1X
14505      return 1068; // M5WriteAFX
14506      if ((
14507            (
14508              MI->getOpcode() == AArch64::EXTRWrri
14509              || MI->getOpcode() == AArch64::EXTRXrri
14510            )
14511            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
14512          ))
14513        return 1027; // M5WriteA1W_ReadExtrHi
14514      return 1028; // M5WriteAFW_ReadExtrHi
14515    }
14516    break;
14517  case 133: // CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr
14518    if (CPUID == 2) { // CortexA53Model
14519      if (AArch64_MC::hasShiftedReg(*MI))
14520        return 1015; // WriteISReg_ReadI_A53ReadShifted
14521      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
14522    }
14523    if (CPUID == 9) { // ThunderXT8XModel
14524      if (AArch64_MC::hasShiftedReg(*MI))
14525        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
14526      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
14527    }
14528    break;
14529  case 256: // FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v
14530    if (CPUID == 5) { // ExynosM4Model
14531      if ((
14532            MI->getOperand(0).isReg()
14533            && (
14534              MI->getOperand(0).getReg() == AArch64::Q0
14535              || MI->getOperand(0).getReg() == AArch64::Q1
14536              || MI->getOperand(0).getReg() == AArch64::Q2
14537              || MI->getOperand(0).getReg() == AArch64::Q3
14538              || MI->getOperand(0).getReg() == AArch64::Q4
14539              || MI->getOperand(0).getReg() == AArch64::Q5
14540              || MI->getOperand(0).getReg() == AArch64::Q6
14541              || MI->getOperand(0).getReg() == AArch64::Q7
14542              || MI->getOperand(0).getReg() == AArch64::Q8
14543              || MI->getOperand(0).getReg() == AArch64::Q9
14544              || MI->getOperand(0).getReg() == AArch64::Q10
14545              || MI->getOperand(0).getReg() == AArch64::Q11
14546              || MI->getOperand(0).getReg() == AArch64::Q12
14547              || MI->getOperand(0).getReg() == AArch64::Q13
14548              || MI->getOperand(0).getReg() == AArch64::Q14
14549              || MI->getOperand(0).getReg() == AArch64::Q15
14550              || MI->getOperand(0).getReg() == AArch64::Q16
14551              || MI->getOperand(0).getReg() == AArch64::Q17
14552              || MI->getOperand(0).getReg() == AArch64::Q18
14553              || MI->getOperand(0).getReg() == AArch64::Q19
14554              || MI->getOperand(0).getReg() == AArch64::Q20
14555              || MI->getOperand(0).getReg() == AArch64::Q21
14556              || MI->getOperand(0).getReg() == AArch64::Q22
14557              || MI->getOperand(0).getReg() == AArch64::Q23
14558              || MI->getOperand(0).getReg() == AArch64::Q24
14559              || MI->getOperand(0).getReg() == AArch64::Q25
14560              || MI->getOperand(0).getReg() == AArch64::Q26
14561              || MI->getOperand(0).getReg() == AArch64::Q27
14562              || MI->getOperand(0).getReg() == AArch64::Q28
14563              || MI->getOperand(0).getReg() == AArch64::Q29
14564              || MI->getOperand(0).getReg() == AArch64::Q30
14565              || MI->getOperand(0).getReg() == AArch64::Q31
14566            )
14567          ))
14568        return 1069; // M4WriteNEONO
14569      return 1070; // M4WriteNEONN
14570    }
14571    if (CPUID == 6) { // ExynosM5Model
14572      if ((
14573            MI->getOperand(0).isReg()
14574            && (
14575              MI->getOperand(0).getReg() == AArch64::Q0
14576              || MI->getOperand(0).getReg() == AArch64::Q1
14577              || MI->getOperand(0).getReg() == AArch64::Q2
14578              || MI->getOperand(0).getReg() == AArch64::Q3
14579              || MI->getOperand(0).getReg() == AArch64::Q4
14580              || MI->getOperand(0).getReg() == AArch64::Q5
14581              || MI->getOperand(0).getReg() == AArch64::Q6
14582              || MI->getOperand(0).getReg() == AArch64::Q7
14583              || MI->getOperand(0).getReg() == AArch64::Q8
14584              || MI->getOperand(0).getReg() == AArch64::Q9
14585              || MI->getOperand(0).getReg() == AArch64::Q10
14586              || MI->getOperand(0).getReg() == AArch64::Q11
14587              || MI->getOperand(0).getReg() == AArch64::Q12
14588              || MI->getOperand(0).getReg() == AArch64::Q13
14589              || MI->getOperand(0).getReg() == AArch64::Q14
14590              || MI->getOperand(0).getReg() == AArch64::Q15
14591              || MI->getOperand(0).getReg() == AArch64::Q16
14592              || MI->getOperand(0).getReg() == AArch64::Q17
14593              || MI->getOperand(0).getReg() == AArch64::Q18
14594              || MI->getOperand(0).getReg() == AArch64::Q19
14595              || MI->getOperand(0).getReg() == AArch64::Q20
14596              || MI->getOperand(0).getReg() == AArch64::Q21
14597              || MI->getOperand(0).getReg() == AArch64::Q22
14598              || MI->getOperand(0).getReg() == AArch64::Q23
14599              || MI->getOperand(0).getReg() == AArch64::Q24
14600              || MI->getOperand(0).getReg() == AArch64::Q25
14601              || MI->getOperand(0).getReg() == AArch64::Q26
14602              || MI->getOperand(0).getReg() == AArch64::Q27
14603              || MI->getOperand(0).getReg() == AArch64::Q28
14604              || MI->getOperand(0).getReg() == AArch64::Q29
14605              || MI->getOperand(0).getReg() == AArch64::Q30
14606              || MI->getOperand(0).getReg() == AArch64::Q31
14607            )
14608          ))
14609        return 1071; // M5WriteNEONO
14610      return 1072; // M5WriteNEONN
14611    }
14612    break;
14613  case 318: // LDRBroW
14614    if (CPUID == 1) { // CycloneModel
14615      if (AArch64_MC::isScaledAddr(*MI))
14616        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
14617      return 1030; // WriteLD_ReadDefault
14618    }
14619    if (CPUID == 4) { // ExynosM3Model
14620      if (AArch64_MC::isExynosScaledAddr(*MI))
14621        return 1075; // M3WriteLE_ReadDefault
14622      return 1075; // M3WriteLE_ReadDefault
14623    }
14624    if (CPUID == 5) { // ExynosM4Model
14625      if ((
14626            AArch64_MC::isScaledAddr(*MI)
14627            || AArch64_MC::isExynosScaledAddr(*MI)
14628          ))
14629        return 1076; // M4WriteLE_ReadDefault
14630      return 1076; // M4WriteLE_ReadDefault
14631      if (AArch64_MC::isExynosScaledAddr(*MI)
14632          && (
14633            AArch64_MC::isScaledAddr(*MI)
14634            || AArch64_MC::isExynosScaledAddr(*MI)
14635          ))
14636        return 1031; // M4WriteL5_ReadDefault
14637      if ((
14638            AArch64_MC::isScaledAddr(*MI)
14639            || AArch64_MC::isExynosScaledAddr(*MI)
14640          ))
14641        return 1032; // M4WriteL4_ReadDefault
14642      return 1032; // M4WriteL4_ReadDefault
14643    }
14644    if (CPUID == 6) { // ExynosM5Model
14645      if ((
14646            AArch64_MC::isScaledAddr(*MI)
14647            || AArch64_MC::isExynosScaledAddr(*MI)
14648          ))
14649        return 1077; // M5WriteLE_ReadDefault
14650      return 1077; // M5WriteLE_ReadDefault
14651      if (AArch64_MC::isExynosScaledAddr(*MI)
14652          && (
14653            AArch64_MC::isScaledAddr(*MI)
14654            || AArch64_MC::isExynosScaledAddr(*MI)
14655          ))
14656        return 1033; // M5WriteL5_ReadDefault
14657      if ((
14658            AArch64_MC::isScaledAddr(*MI)
14659            || AArch64_MC::isExynosScaledAddr(*MI)
14660          ))
14661        return 1034; // M5WriteL4_ReadDefault
14662      return 1034; // M5WriteL4_ReadDefault
14663    }
14664    if (CPUID == 7) { // FalkorModel
14665      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
14666    }
14667    if (CPUID == 10) { // ThunderX2T99Model
14668      if (AArch64_MC::isScaledAddr(*MI))
14669        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14670      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14671      if (AArch64_MC::isScaledAddr(*MI))
14672        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14673      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14674      if (AArch64_MC::isScaledAddr(*MI))
14675        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14676      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14677      if (AArch64_MC::isScaledAddr(*MI))
14678        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
14679      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
14680    }
14681    break;
14682  case 319: // LDRBroX
14683    if (CPUID == 1) { // CycloneModel
14684      if (AArch64_MC::isScaledAddr(*MI))
14685        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
14686      return 1030; // WriteLD_ReadDefault
14687    }
14688    if (CPUID == 4) { // ExynosM3Model
14689      if (AArch64_MC::isExynosScaledAddr(*MI))
14690        return 1080; // WriteVLD_ReadDefault
14691      return 1080; // WriteVLD_ReadDefault
14692    }
14693    if (CPUID == 5) { // ExynosM4Model
14694      if ((
14695            AArch64_MC::isScaledAddr(*MI)
14696            || AArch64_MC::isExynosScaledAddr(*MI)
14697          ))
14698        return 1080; // WriteVLD_ReadDefault
14699      return 1080; // WriteVLD_ReadDefault
14700      if (AArch64_MC::isExynosScaledAddr(*MI)
14701          && (
14702            AArch64_MC::isScaledAddr(*MI)
14703            || AArch64_MC::isExynosScaledAddr(*MI)
14704          ))
14705        return 1031; // M4WriteL5_ReadDefault
14706      if ((
14707            AArch64_MC::isScaledAddr(*MI)
14708            || AArch64_MC::isExynosScaledAddr(*MI)
14709          ))
14710        return 1032; // M4WriteL4_ReadDefault
14711      return 1032; // M4WriteL4_ReadDefault
14712    }
14713    if (CPUID == 6) { // ExynosM5Model
14714      if ((
14715            AArch64_MC::isScaledAddr(*MI)
14716            || AArch64_MC::isExynosScaledAddr(*MI)
14717          ))
14718        return 1080; // WriteVLD_ReadDefault
14719      return 1080; // WriteVLD_ReadDefault
14720      if (AArch64_MC::isExynosScaledAddr(*MI)
14721          && (
14722            AArch64_MC::isScaledAddr(*MI)
14723            || AArch64_MC::isExynosScaledAddr(*MI)
14724          ))
14725        return 1033; // M5WriteL5_ReadDefault
14726      if ((
14727            AArch64_MC::isScaledAddr(*MI)
14728            || AArch64_MC::isExynosScaledAddr(*MI)
14729          ))
14730        return 1034; // M5WriteL4_ReadDefault
14731      return 1034; // M5WriteL4_ReadDefault
14732    }
14733    if (CPUID == 7) { // FalkorModel
14734      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
14735    }
14736    if (CPUID == 10) { // ThunderX2T99Model
14737      if (AArch64_MC::isScaledAddr(*MI))
14738        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14739      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14740      if (AArch64_MC::isScaledAddr(*MI))
14741        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14742      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14743      if (AArch64_MC::isScaledAddr(*MI))
14744        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
14745      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
14746    }
14747    break;
14748  case 324: // LDRDroW
14749    if (CPUID == 1) { // CycloneModel
14750      if (AArch64_MC::isScaledAddr(*MI))
14751        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
14752      return 1030; // WriteLD_ReadDefault
14753    }
14754    if (CPUID == 4) { // ExynosM3Model
14755      if (AArch64_MC::isExynosScaledAddr(*MI))
14756        return 1075; // M3WriteLE_ReadDefault
14757      return 1075; // M3WriteLE_ReadDefault
14758    }
14759    if (CPUID == 5) { // ExynosM4Model
14760      if ((
14761            AArch64_MC::isScaledAddr(*MI)
14762            || AArch64_MC::isExynosScaledAddr(*MI)
14763          ))
14764        return 1076; // M4WriteLE_ReadDefault
14765      return 1076; // M4WriteLE_ReadDefault
14766      if (AArch64_MC::isExynosScaledAddr(*MI)
14767          && (
14768            AArch64_MC::isScaledAddr(*MI)
14769            || AArch64_MC::isExynosScaledAddr(*MI)
14770          ))
14771        return 1031; // M4WriteL5_ReadDefault
14772      if ((
14773            AArch64_MC::isScaledAddr(*MI)
14774            || AArch64_MC::isExynosScaledAddr(*MI)
14775          ))
14776        return 1032; // M4WriteL4_ReadDefault
14777      return 1032; // M4WriteL4_ReadDefault
14778    }
14779    if (CPUID == 6) { // ExynosM5Model
14780      if ((
14781            AArch64_MC::isScaledAddr(*MI)
14782            || AArch64_MC::isExynosScaledAddr(*MI)
14783          ))
14784        return 1077; // M5WriteLE_ReadDefault
14785      return 1077; // M5WriteLE_ReadDefault
14786      if (AArch64_MC::isExynosScaledAddr(*MI)
14787          && (
14788            AArch64_MC::isScaledAddr(*MI)
14789            || AArch64_MC::isExynosScaledAddr(*MI)
14790          ))
14791        return 1033; // M5WriteL5_ReadDefault
14792      if ((
14793            AArch64_MC::isScaledAddr(*MI)
14794            || AArch64_MC::isExynosScaledAddr(*MI)
14795          ))
14796        return 1034; // M5WriteL4_ReadDefault
14797      return 1034; // M5WriteL4_ReadDefault
14798    }
14799    if (CPUID == 7) { // FalkorModel
14800      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
14801    }
14802    if (CPUID == 10) { // ThunderX2T99Model
14803      if (AArch64_MC::isScaledAddr(*MI))
14804        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14805      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14806      if (AArch64_MC::isScaledAddr(*MI))
14807        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14808      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14809      if (AArch64_MC::isScaledAddr(*MI))
14810        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
14811      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
14812    }
14813    break;
14814  case 325: // LDRDroX
14815    if (CPUID == 1) { // CycloneModel
14816      if (AArch64_MC::isScaledAddr(*MI))
14817        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
14818      return 1030; // WriteLD_ReadDefault
14819    }
14820    if (CPUID == 4) { // ExynosM3Model
14821      if (AArch64_MC::isExynosScaledAddr(*MI))
14822        return 1080; // WriteVLD_ReadDefault
14823      return 1080; // WriteVLD_ReadDefault
14824    }
14825    if (CPUID == 5) { // ExynosM4Model
14826      if ((
14827            AArch64_MC::isScaledAddr(*MI)
14828            || AArch64_MC::isExynosScaledAddr(*MI)
14829          ))
14830        return 1080; // WriteVLD_ReadDefault
14831      return 1080; // WriteVLD_ReadDefault
14832      if (AArch64_MC::isExynosScaledAddr(*MI)
14833          && (
14834            AArch64_MC::isScaledAddr(*MI)
14835            || AArch64_MC::isExynosScaledAddr(*MI)
14836          ))
14837        return 1031; // M4WriteL5_ReadDefault
14838      if ((
14839            AArch64_MC::isScaledAddr(*MI)
14840            || AArch64_MC::isExynosScaledAddr(*MI)
14841          ))
14842        return 1032; // M4WriteL4_ReadDefault
14843      return 1032; // M4WriteL4_ReadDefault
14844    }
14845    if (CPUID == 6) { // ExynosM5Model
14846      if ((
14847            AArch64_MC::isScaledAddr(*MI)
14848            || AArch64_MC::isExynosScaledAddr(*MI)
14849          ))
14850        return 1080; // WriteVLD_ReadDefault
14851      return 1080; // WriteVLD_ReadDefault
14852      if (AArch64_MC::isExynosScaledAddr(*MI)
14853          && (
14854            AArch64_MC::isScaledAddr(*MI)
14855            || AArch64_MC::isExynosScaledAddr(*MI)
14856          ))
14857        return 1033; // M5WriteL5_ReadDefault
14858      if ((
14859            AArch64_MC::isScaledAddr(*MI)
14860            || AArch64_MC::isExynosScaledAddr(*MI)
14861          ))
14862        return 1034; // M5WriteL4_ReadDefault
14863      return 1034; // M5WriteL4_ReadDefault
14864    }
14865    if (CPUID == 7) { // FalkorModel
14866      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
14867    }
14868    if (CPUID == 10) { // ThunderX2T99Model
14869      if (AArch64_MC::isScaledAddr(*MI))
14870        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14871      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14872      if (AArch64_MC::isScaledAddr(*MI))
14873        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14874      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14875      if (AArch64_MC::isScaledAddr(*MI))
14876        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
14877      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
14878    }
14879    break;
14880  case 327: // LDRHHroW
14881    if (CPUID == 1) { // CycloneModel
14882      if (AArch64_MC::isScaledAddr(*MI))
14883        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
14884      return 1030; // WriteLD_ReadDefault
14885    }
14886    if (CPUID == 4) { // ExynosM3Model
14887      if (AArch64_MC::isExynosScaledAddr(*MI))
14888        return 1081; // M3WriteLB_ReadDefault
14889      return 1081; // M3WriteLB_ReadDefault
14890    }
14891    if (CPUID == 5) { // ExynosM4Model
14892      if ((
14893            AArch64_MC::isScaledAddr(*MI)
14894            || AArch64_MC::isExynosScaledAddr(*MI)
14895          ))
14896        return 1031; // M4WriteL5_ReadDefault
14897      return 1031; // M4WriteL5_ReadDefault
14898      if (AArch64_MC::isExynosScaledAddr(*MI)
14899          && (
14900            AArch64_MC::isScaledAddr(*MI)
14901            || AArch64_MC::isExynosScaledAddr(*MI)
14902          ))
14903        return 1031; // M4WriteL5_ReadDefault
14904      if ((
14905            AArch64_MC::isScaledAddr(*MI)
14906            || AArch64_MC::isExynosScaledAddr(*MI)
14907          ))
14908        return 1032; // M4WriteL4_ReadDefault
14909      return 1032; // M4WriteL4_ReadDefault
14910    }
14911    if (CPUID == 6) { // ExynosM5Model
14912      if ((
14913            AArch64_MC::isScaledAddr(*MI)
14914            || AArch64_MC::isExynosScaledAddr(*MI)
14915          ))
14916        return 1033; // M5WriteL5_ReadDefault
14917      return 1033; // M5WriteL5_ReadDefault
14918      if (AArch64_MC::isExynosScaledAddr(*MI)
14919          && (
14920            AArch64_MC::isScaledAddr(*MI)
14921            || AArch64_MC::isExynosScaledAddr(*MI)
14922          ))
14923        return 1033; // M5WriteL5_ReadDefault
14924      if ((
14925            AArch64_MC::isScaledAddr(*MI)
14926            || AArch64_MC::isExynosScaledAddr(*MI)
14927          ))
14928        return 1034; // M5WriteL4_ReadDefault
14929      return 1034; // M5WriteL4_ReadDefault
14930    }
14931    if (CPUID == 7) { // FalkorModel
14932      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
14933    }
14934    if (CPUID == 10) { // ThunderX2T99Model
14935      if (AArch64_MC::isScaledAddr(*MI))
14936        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14937      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
14938      if (AArch64_MC::isScaledAddr(*MI))
14939        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14940      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
14941      if (AArch64_MC::isScaledAddr(*MI))
14942        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
14943      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
14944    }
14945    break;
14946  case 328: // LDRHHroX
14947    if (CPUID == 1) { // CycloneModel
14948      if (AArch64_MC::isScaledAddr(*MI))
14949        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
14950      return 1030; // WriteLD_ReadDefault
14951    }
14952    if (CPUID == 4) { // ExynosM3Model
14953      if (AArch64_MC::isExynosScaledAddr(*MI))
14954        return 1082; // M3WriteL5_ReadDefault
14955      return 1083; // M3WriteL4_ReadDefault
14956    }
14957    if (CPUID == 5) { // ExynosM4Model
14958      if (AArch64_MC::isExynosScaledAddr(*MI)
14959          && (
14960            AArch64_MC::isScaledAddr(*MI)
14961            || AArch64_MC::isExynosScaledAddr(*MI)
14962          ))
14963        return 1031; // M4WriteL5_ReadDefault
14964      if ((
14965            AArch64_MC::isScaledAddr(*MI)
14966            || AArch64_MC::isExynosScaledAddr(*MI)
14967          ))
14968        return 1032; // M4WriteL4_ReadDefault
14969      return 1032; // M4WriteL4_ReadDefault
14970      if (AArch64_MC::isExynosScaledAddr(*MI)
14971          && (
14972            AArch64_MC::isScaledAddr(*MI)
14973            || AArch64_MC::isExynosScaledAddr(*MI)
14974          ))
14975        return 1031; // M4WriteL5_ReadDefault
14976      if ((
14977            AArch64_MC::isScaledAddr(*MI)
14978            || AArch64_MC::isExynosScaledAddr(*MI)
14979          ))
14980        return 1032; // M4WriteL4_ReadDefault
14981      return 1032; // M4WriteL4_ReadDefault
14982    }
14983    if (CPUID == 6) { // ExynosM5Model
14984      if (AArch64_MC::isExynosScaledAddr(*MI)
14985          && (
14986            AArch64_MC::isScaledAddr(*MI)
14987            || AArch64_MC::isExynosScaledAddr(*MI)
14988          ))
14989        return 1033; // M5WriteL5_ReadDefault
14990      if ((
14991            AArch64_MC::isScaledAddr(*MI)
14992            || AArch64_MC::isExynosScaledAddr(*MI)
14993          ))
14994        return 1034; // M5WriteL4_ReadDefault
14995      return 1034; // M5WriteL4_ReadDefault
14996      if (AArch64_MC::isExynosScaledAddr(*MI)
14997          && (
14998            AArch64_MC::isScaledAddr(*MI)
14999            || AArch64_MC::isExynosScaledAddr(*MI)
15000          ))
15001        return 1033; // M5WriteL5_ReadDefault
15002      if ((
15003            AArch64_MC::isScaledAddr(*MI)
15004            || AArch64_MC::isExynosScaledAddr(*MI)
15005          ))
15006        return 1034; // M5WriteL4_ReadDefault
15007      return 1034; // M5WriteL4_ReadDefault
15008    }
15009    if (CPUID == 7) { // FalkorModel
15010      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15011    }
15012    if (CPUID == 10) { // ThunderX2T99Model
15013      if (AArch64_MC::isScaledAddr(*MI))
15014        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15015      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15016      if (AArch64_MC::isScaledAddr(*MI))
15017        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15018      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15019      if (AArch64_MC::isScaledAddr(*MI))
15020        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15021      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15022    }
15023    break;
15024  case 331: // LDRHroW
15025    if (CPUID == 1) { // CycloneModel
15026      if (AArch64_MC::isScaledAddr(*MI))
15027        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15028      return 1030; // WriteLD_ReadDefault
15029    }
15030    if (CPUID == 4) { // ExynosM3Model
15031      if (AArch64_MC::isExynosScaledAddr(*MI))
15032        return 1075; // M3WriteLE_ReadDefault
15033      return 1075; // M3WriteLE_ReadDefault
15034    }
15035    if (CPUID == 5) { // ExynosM4Model
15036      if ((
15037            AArch64_MC::isScaledAddr(*MI)
15038            || AArch64_MC::isExynosScaledAddr(*MI)
15039          ))
15040        return 1076; // M4WriteLE_ReadDefault
15041      return 1076; // M4WriteLE_ReadDefault
15042      if (AArch64_MC::isExynosScaledAddr(*MI)
15043          && (
15044            AArch64_MC::isScaledAddr(*MI)
15045            || AArch64_MC::isExynosScaledAddr(*MI)
15046          ))
15047        return 1031; // M4WriteL5_ReadDefault
15048      if ((
15049            AArch64_MC::isScaledAddr(*MI)
15050            || AArch64_MC::isExynosScaledAddr(*MI)
15051          ))
15052        return 1032; // M4WriteL4_ReadDefault
15053      return 1032; // M4WriteL4_ReadDefault
15054    }
15055    if (CPUID == 6) { // ExynosM5Model
15056      if ((
15057            AArch64_MC::isScaledAddr(*MI)
15058            || AArch64_MC::isExynosScaledAddr(*MI)
15059          ))
15060        return 1077; // M5WriteLE_ReadDefault
15061      return 1077; // M5WriteLE_ReadDefault
15062      if (AArch64_MC::isExynosScaledAddr(*MI)
15063          && (
15064            AArch64_MC::isScaledAddr(*MI)
15065            || AArch64_MC::isExynosScaledAddr(*MI)
15066          ))
15067        return 1033; // M5WriteL5_ReadDefault
15068      if ((
15069            AArch64_MC::isScaledAddr(*MI)
15070            || AArch64_MC::isExynosScaledAddr(*MI)
15071          ))
15072        return 1034; // M5WriteL4_ReadDefault
15073      return 1034; // M5WriteL4_ReadDefault
15074    }
15075    if (CPUID == 7) { // FalkorModel
15076      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15077    }
15078    if (CPUID == 10) { // ThunderX2T99Model
15079      if (AArch64_MC::isScaledAddr(*MI))
15080        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15081      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15082      if (AArch64_MC::isScaledAddr(*MI))
15083        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15084      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15085      if (AArch64_MC::isScaledAddr(*MI))
15086        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15087      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15088    }
15089    break;
15090  case 332: // LDRHroX
15091    if (CPUID == 1) { // CycloneModel
15092      if (AArch64_MC::isScaledAddr(*MI))
15093        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15094      return 1030; // WriteLD_ReadDefault
15095    }
15096    if (CPUID == 4) { // ExynosM3Model
15097      if (AArch64_MC::isExynosScaledAddr(*MI))
15098        return 1080; // WriteVLD_ReadDefault
15099      return 1080; // WriteVLD_ReadDefault
15100    }
15101    if (CPUID == 5) { // ExynosM4Model
15102      if ((
15103            AArch64_MC::isScaledAddr(*MI)
15104            || AArch64_MC::isExynosScaledAddr(*MI)
15105          ))
15106        return 1080; // WriteVLD_ReadDefault
15107      return 1080; // WriteVLD_ReadDefault
15108      if (AArch64_MC::isExynosScaledAddr(*MI)
15109          && (
15110            AArch64_MC::isScaledAddr(*MI)
15111            || AArch64_MC::isExynosScaledAddr(*MI)
15112          ))
15113        return 1031; // M4WriteL5_ReadDefault
15114      if ((
15115            AArch64_MC::isScaledAddr(*MI)
15116            || AArch64_MC::isExynosScaledAddr(*MI)
15117          ))
15118        return 1032; // M4WriteL4_ReadDefault
15119      return 1032; // M4WriteL4_ReadDefault
15120    }
15121    if (CPUID == 6) { // ExynosM5Model
15122      if ((
15123            AArch64_MC::isScaledAddr(*MI)
15124            || AArch64_MC::isExynosScaledAddr(*MI)
15125          ))
15126        return 1080; // WriteVLD_ReadDefault
15127      return 1080; // WriteVLD_ReadDefault
15128      if (AArch64_MC::isExynosScaledAddr(*MI)
15129          && (
15130            AArch64_MC::isScaledAddr(*MI)
15131            || AArch64_MC::isExynosScaledAddr(*MI)
15132          ))
15133        return 1033; // M5WriteL5_ReadDefault
15134      if ((
15135            AArch64_MC::isScaledAddr(*MI)
15136            || AArch64_MC::isExynosScaledAddr(*MI)
15137          ))
15138        return 1034; // M5WriteL4_ReadDefault
15139      return 1034; // M5WriteL4_ReadDefault
15140    }
15141    if (CPUID == 7) { // FalkorModel
15142      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15143    }
15144    if (CPUID == 10) { // ThunderX2T99Model
15145      if (AArch64_MC::isScaledAddr(*MI))
15146        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15147      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15148      if (AArch64_MC::isScaledAddr(*MI))
15149        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15150      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15151      if (AArch64_MC::isScaledAddr(*MI))
15152        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15153      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15154    }
15155    break;
15156  case 337: // LDRQroW
15157    if (CPUID == 1) { // CycloneModel
15158      if (AArch64_MC::isScaledAddr(*MI))
15159        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15160      return 1030; // WriteLD_ReadDefault
15161    }
15162    if (CPUID == 4) { // ExynosM3Model
15163      if (AArch64_MC::isExynosScaledAddr(*MI))
15164        return 1075; // M3WriteLE_ReadDefault
15165      return 1082; // M3WriteL5_ReadDefault
15166    }
15167    if (CPUID == 5) { // ExynosM4Model
15168      if ((
15169            AArch64_MC::isScaledAddr(*MI)
15170            || AArch64_MC::isExynosScaledAddr(*MI)
15171          ))
15172        return 1076; // M4WriteLE_ReadDefault
15173      return 1076; // M4WriteLE_ReadDefault
15174      if (AArch64_MC::isExynosScaledAddr(*MI)
15175          && (
15176            AArch64_MC::isScaledAddr(*MI)
15177            || AArch64_MC::isExynosScaledAddr(*MI)
15178          ))
15179        return 1031; // M4WriteL5_ReadDefault
15180      if ((
15181            AArch64_MC::isScaledAddr(*MI)
15182            || AArch64_MC::isExynosScaledAddr(*MI)
15183          ))
15184        return 1032; // M4WriteL4_ReadDefault
15185      return 1032; // M4WriteL4_ReadDefault
15186    }
15187    if (CPUID == 6) { // ExynosM5Model
15188      if ((
15189            AArch64_MC::isScaledAddr(*MI)
15190            || AArch64_MC::isExynosScaledAddr(*MI)
15191          ))
15192        return 1077; // M5WriteLE_ReadDefault
15193      return 1077; // M5WriteLE_ReadDefault
15194      if (AArch64_MC::isExynosScaledAddr(*MI)
15195          && (
15196            AArch64_MC::isScaledAddr(*MI)
15197            || AArch64_MC::isExynosScaledAddr(*MI)
15198          ))
15199        return 1033; // M5WriteL5_ReadDefault
15200      if ((
15201            AArch64_MC::isScaledAddr(*MI)
15202            || AArch64_MC::isExynosScaledAddr(*MI)
15203          ))
15204        return 1034; // M5WriteL4_ReadDefault
15205      return 1034; // M5WriteL4_ReadDefault
15206    }
15207    if (CPUID == 7) { // FalkorModel
15208      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15209    }
15210    if (CPUID == 10) { // ThunderX2T99Model
15211      if (AArch64_MC::isScaledAddr(*MI))
15212        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15213      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15214      if (AArch64_MC::isScaledAddr(*MI))
15215        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15216      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15217      if (AArch64_MC::isScaledAddr(*MI))
15218        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15219      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15220    }
15221    break;
15222  case 338: // LDRQroX
15223    if (CPUID == 1) { // CycloneModel
15224      if (AArch64_MC::isScaledAddr(*MI))
15225        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15226      return 1030; // WriteLD_ReadDefault
15227    }
15228    if (CPUID == 4) { // ExynosM3Model
15229      if (AArch64_MC::isExynosScaledAddr(*MI))
15230        return 1075; // M3WriteLE_ReadDefault
15231      return 1082; // M3WriteL5_ReadDefault
15232    }
15233    if (CPUID == 5) { // ExynosM4Model
15234      if (AArch64_MC::isExynosScaledAddr(*MI)
15235          && (
15236            AArch64_MC::isScaledAddr(*MI)
15237            || AArch64_MC::isExynosScaledAddr(*MI)
15238          ))
15239        return 1076; // M4WriteLE_ReadDefault
15240      if ((
15241            AArch64_MC::isScaledAddr(*MI)
15242            || AArch64_MC::isExynosScaledAddr(*MI)
15243          ))
15244        return 1031; // M4WriteL5_ReadDefault
15245      return 1031; // M4WriteL5_ReadDefault
15246      if (AArch64_MC::isExynosScaledAddr(*MI)
15247          && (
15248            AArch64_MC::isScaledAddr(*MI)
15249            || AArch64_MC::isExynosScaledAddr(*MI)
15250          ))
15251        return 1031; // M4WriteL5_ReadDefault
15252      if ((
15253            AArch64_MC::isScaledAddr(*MI)
15254            || AArch64_MC::isExynosScaledAddr(*MI)
15255          ))
15256        return 1032; // M4WriteL4_ReadDefault
15257      return 1032; // M4WriteL4_ReadDefault
15258    }
15259    if (CPUID == 6) { // ExynosM5Model
15260      if (AArch64_MC::isExynosScaledAddr(*MI)
15261          && (
15262            AArch64_MC::isScaledAddr(*MI)
15263            || AArch64_MC::isExynosScaledAddr(*MI)
15264          ))
15265        return 1077; // M5WriteLE_ReadDefault
15266      if ((
15267            AArch64_MC::isScaledAddr(*MI)
15268            || AArch64_MC::isExynosScaledAddr(*MI)
15269          ))
15270        return 1084; // M5WriteL6_ReadDefault
15271      return 1084; // M5WriteL6_ReadDefault
15272      if (AArch64_MC::isExynosScaledAddr(*MI)
15273          && (
15274            AArch64_MC::isScaledAddr(*MI)
15275            || AArch64_MC::isExynosScaledAddr(*MI)
15276          ))
15277        return 1033; // M5WriteL5_ReadDefault
15278      if ((
15279            AArch64_MC::isScaledAddr(*MI)
15280            || AArch64_MC::isExynosScaledAddr(*MI)
15281          ))
15282        return 1034; // M5WriteL4_ReadDefault
15283      return 1034; // M5WriteL4_ReadDefault
15284    }
15285    if (CPUID == 7) { // FalkorModel
15286      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15287    }
15288    if (CPUID == 10) { // ThunderX2T99Model
15289      if (AArch64_MC::isScaledAddr(*MI))
15290        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15291      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15292      if (AArch64_MC::isScaledAddr(*MI))
15293        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15294      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15295      if (AArch64_MC::isScaledAddr(*MI))
15296        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15297      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15298    }
15299    break;
15300  case 340: // LDRSHWroW
15301    if (CPUID == 1) { // CycloneModel
15302      if (AArch64_MC::isScaledAddr(*MI))
15303        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15304      return 1030; // WriteLD_ReadDefault
15305    }
15306    if (CPUID == 4) { // ExynosM3Model
15307      if (AArch64_MC::isExynosScaledAddr(*MI))
15308        return 1081; // M3WriteLB_ReadDefault
15309      return 1081; // M3WriteLB_ReadDefault
15310    }
15311    if (CPUID == 5) { // ExynosM4Model
15312      if ((
15313            AArch64_MC::isScaledAddr(*MI)
15314            || AArch64_MC::isExynosScaledAddr(*MI)
15315          ))
15316        return 1031; // M4WriteL5_ReadDefault
15317      return 1031; // M4WriteL5_ReadDefault
15318      if (AArch64_MC::isExynosScaledAddr(*MI)
15319          && (
15320            AArch64_MC::isScaledAddr(*MI)
15321            || AArch64_MC::isExynosScaledAddr(*MI)
15322          ))
15323        return 1031; // M4WriteL5_ReadDefault
15324      if ((
15325            AArch64_MC::isScaledAddr(*MI)
15326            || AArch64_MC::isExynosScaledAddr(*MI)
15327          ))
15328        return 1032; // M4WriteL4_ReadDefault
15329      return 1032; // M4WriteL4_ReadDefault
15330    }
15331    if (CPUID == 6) { // ExynosM5Model
15332      if ((
15333            AArch64_MC::isScaledAddr(*MI)
15334            || AArch64_MC::isExynosScaledAddr(*MI)
15335          ))
15336        return 1033; // M5WriteL5_ReadDefault
15337      return 1033; // M5WriteL5_ReadDefault
15338      if (AArch64_MC::isExynosScaledAddr(*MI)
15339          && (
15340            AArch64_MC::isScaledAddr(*MI)
15341            || AArch64_MC::isExynosScaledAddr(*MI)
15342          ))
15343        return 1033; // M5WriteL5_ReadDefault
15344      if ((
15345            AArch64_MC::isScaledAddr(*MI)
15346            || AArch64_MC::isExynosScaledAddr(*MI)
15347          ))
15348        return 1034; // M5WriteL4_ReadDefault
15349      return 1034; // M5WriteL4_ReadDefault
15350    }
15351    if (CPUID == 7) { // FalkorModel
15352      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
15353    }
15354    if (CPUID == 10) { // ThunderX2T99Model
15355      if (AArch64_MC::isScaledAddr(*MI))
15356        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15357      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15358      if (AArch64_MC::isScaledAddr(*MI))
15359        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15360      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15361      if (AArch64_MC::isScaledAddr(*MI))
15362        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15363      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15364    }
15365    break;
15366  case 341: // LDRSHWroX
15367    if (CPUID == 1) { // CycloneModel
15368      if (AArch64_MC::isScaledAddr(*MI))
15369        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15370      return 1030; // WriteLD_ReadDefault
15371    }
15372    if (CPUID == 4) { // ExynosM3Model
15373      if (AArch64_MC::isExynosScaledAddr(*MI))
15374        return 1082; // M3WriteL5_ReadDefault
15375      return 1083; // M3WriteL4_ReadDefault
15376    }
15377    if (CPUID == 5) { // ExynosM4Model
15378      if (AArch64_MC::isExynosScaledAddr(*MI)
15379          && (
15380            AArch64_MC::isScaledAddr(*MI)
15381            || AArch64_MC::isExynosScaledAddr(*MI)
15382          ))
15383        return 1031; // M4WriteL5_ReadDefault
15384      if ((
15385            AArch64_MC::isScaledAddr(*MI)
15386            || AArch64_MC::isExynosScaledAddr(*MI)
15387          ))
15388        return 1032; // M4WriteL4_ReadDefault
15389      return 1032; // M4WriteL4_ReadDefault
15390      if (AArch64_MC::isExynosScaledAddr(*MI)
15391          && (
15392            AArch64_MC::isScaledAddr(*MI)
15393            || AArch64_MC::isExynosScaledAddr(*MI)
15394          ))
15395        return 1031; // M4WriteL5_ReadDefault
15396      if ((
15397            AArch64_MC::isScaledAddr(*MI)
15398            || AArch64_MC::isExynosScaledAddr(*MI)
15399          ))
15400        return 1032; // M4WriteL4_ReadDefault
15401      return 1032; // M4WriteL4_ReadDefault
15402    }
15403    if (CPUID == 6) { // ExynosM5Model
15404      if (AArch64_MC::isExynosScaledAddr(*MI)
15405          && (
15406            AArch64_MC::isScaledAddr(*MI)
15407            || AArch64_MC::isExynosScaledAddr(*MI)
15408          ))
15409        return 1033; // M5WriteL5_ReadDefault
15410      if ((
15411            AArch64_MC::isScaledAddr(*MI)
15412            || AArch64_MC::isExynosScaledAddr(*MI)
15413          ))
15414        return 1034; // M5WriteL4_ReadDefault
15415      return 1034; // M5WriteL4_ReadDefault
15416      if (AArch64_MC::isExynosScaledAddr(*MI)
15417          && (
15418            AArch64_MC::isScaledAddr(*MI)
15419            || AArch64_MC::isExynosScaledAddr(*MI)
15420          ))
15421        return 1033; // M5WriteL5_ReadDefault
15422      if ((
15423            AArch64_MC::isScaledAddr(*MI)
15424            || AArch64_MC::isExynosScaledAddr(*MI)
15425          ))
15426        return 1034; // M5WriteL4_ReadDefault
15427      return 1034; // M5WriteL4_ReadDefault
15428    }
15429    if (CPUID == 7) { // FalkorModel
15430      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
15431    }
15432    if (CPUID == 10) { // ThunderX2T99Model
15433      if (AArch64_MC::isScaledAddr(*MI))
15434        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15435      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15436      if (AArch64_MC::isScaledAddr(*MI))
15437        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15438      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15439      if (AArch64_MC::isScaledAddr(*MI))
15440        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15441      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15442    }
15443    break;
15444  case 342: // LDRSHXroW
15445    if (CPUID == 1) { // CycloneModel
15446      if (AArch64_MC::isScaledAddr(*MI))
15447        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15448      return 1030; // WriteLD_ReadDefault
15449    }
15450    if (CPUID == 4) { // ExynosM3Model
15451      if (AArch64_MC::isExynosScaledAddr(*MI))
15452        return 1081; // M3WriteLB_ReadDefault
15453      return 1081; // M3WriteLB_ReadDefault
15454    }
15455    if (CPUID == 5) { // ExynosM4Model
15456      if ((
15457            AArch64_MC::isScaledAddr(*MI)
15458            || AArch64_MC::isExynosScaledAddr(*MI)
15459          ))
15460        return 1031; // M4WriteL5_ReadDefault
15461      return 1031; // M4WriteL5_ReadDefault
15462      if (AArch64_MC::isExynosScaledAddr(*MI)
15463          && (
15464            AArch64_MC::isScaledAddr(*MI)
15465            || AArch64_MC::isExynosScaledAddr(*MI)
15466          ))
15467        return 1031; // M4WriteL5_ReadDefault
15468      if ((
15469            AArch64_MC::isScaledAddr(*MI)
15470            || AArch64_MC::isExynosScaledAddr(*MI)
15471          ))
15472        return 1032; // M4WriteL4_ReadDefault
15473      return 1032; // M4WriteL4_ReadDefault
15474    }
15475    if (CPUID == 6) { // ExynosM5Model
15476      if ((
15477            AArch64_MC::isScaledAddr(*MI)
15478            || AArch64_MC::isExynosScaledAddr(*MI)
15479          ))
15480        return 1033; // M5WriteL5_ReadDefault
15481      return 1033; // M5WriteL5_ReadDefault
15482      if (AArch64_MC::isExynosScaledAddr(*MI)
15483          && (
15484            AArch64_MC::isScaledAddr(*MI)
15485            || AArch64_MC::isExynosScaledAddr(*MI)
15486          ))
15487        return 1033; // M5WriteL5_ReadDefault
15488      if ((
15489            AArch64_MC::isScaledAddr(*MI)
15490            || AArch64_MC::isExynosScaledAddr(*MI)
15491          ))
15492        return 1034; // M5WriteL4_ReadDefault
15493      return 1034; // M5WriteL4_ReadDefault
15494    }
15495    if (CPUID == 7) { // FalkorModel
15496      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
15497    }
15498    if (CPUID == 10) { // ThunderX2T99Model
15499      if (AArch64_MC::isScaledAddr(*MI))
15500        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15501      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15502      if (AArch64_MC::isScaledAddr(*MI))
15503        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15504      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15505      if (AArch64_MC::isScaledAddr(*MI))
15506        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15507      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15508    }
15509    break;
15510  case 343: // LDRSHXroX
15511    if (CPUID == 1) { // CycloneModel
15512      if (AArch64_MC::isScaledAddr(*MI))
15513        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15514      return 1030; // WriteLD_ReadDefault
15515    }
15516    if (CPUID == 4) { // ExynosM3Model
15517      if (AArch64_MC::isExynosScaledAddr(*MI))
15518        return 1082; // M3WriteL5_ReadDefault
15519      return 1083; // M3WriteL4_ReadDefault
15520    }
15521    if (CPUID == 5) { // ExynosM4Model
15522      if (AArch64_MC::isExynosScaledAddr(*MI)
15523          && (
15524            AArch64_MC::isScaledAddr(*MI)
15525            || AArch64_MC::isExynosScaledAddr(*MI)
15526          ))
15527        return 1031; // M4WriteL5_ReadDefault
15528      if ((
15529            AArch64_MC::isScaledAddr(*MI)
15530            || AArch64_MC::isExynosScaledAddr(*MI)
15531          ))
15532        return 1032; // M4WriteL4_ReadDefault
15533      return 1032; // M4WriteL4_ReadDefault
15534      if (AArch64_MC::isExynosScaledAddr(*MI)
15535          && (
15536            AArch64_MC::isScaledAddr(*MI)
15537            || AArch64_MC::isExynosScaledAddr(*MI)
15538          ))
15539        return 1031; // M4WriteL5_ReadDefault
15540      if ((
15541            AArch64_MC::isScaledAddr(*MI)
15542            || AArch64_MC::isExynosScaledAddr(*MI)
15543          ))
15544        return 1032; // M4WriteL4_ReadDefault
15545      return 1032; // M4WriteL4_ReadDefault
15546    }
15547    if (CPUID == 6) { // ExynosM5Model
15548      if (AArch64_MC::isExynosScaledAddr(*MI)
15549          && (
15550            AArch64_MC::isScaledAddr(*MI)
15551            || AArch64_MC::isExynosScaledAddr(*MI)
15552          ))
15553        return 1033; // M5WriteL5_ReadDefault
15554      if ((
15555            AArch64_MC::isScaledAddr(*MI)
15556            || AArch64_MC::isExynosScaledAddr(*MI)
15557          ))
15558        return 1034; // M5WriteL4_ReadDefault
15559      return 1034; // M5WriteL4_ReadDefault
15560      if (AArch64_MC::isExynosScaledAddr(*MI)
15561          && (
15562            AArch64_MC::isScaledAddr(*MI)
15563            || AArch64_MC::isExynosScaledAddr(*MI)
15564          ))
15565        return 1033; // M5WriteL5_ReadDefault
15566      if ((
15567            AArch64_MC::isScaledAddr(*MI)
15568            || AArch64_MC::isExynosScaledAddr(*MI)
15569          ))
15570        return 1034; // M5WriteL4_ReadDefault
15571      return 1034; // M5WriteL4_ReadDefault
15572    }
15573    if (CPUID == 7) { // FalkorModel
15574      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
15575    }
15576    if (CPUID == 10) { // ThunderX2T99Model
15577      if (AArch64_MC::isScaledAddr(*MI))
15578        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15579      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15580      if (AArch64_MC::isScaledAddr(*MI))
15581        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15582      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15583      if (AArch64_MC::isScaledAddr(*MI))
15584        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15585      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15586    }
15587    break;
15588  case 347: // LDRSroW
15589    if (CPUID == 1) { // CycloneModel
15590      if (AArch64_MC::isScaledAddr(*MI))
15591        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15592      return 1030; // WriteLD_ReadDefault
15593    }
15594    if (CPUID == 4) { // ExynosM3Model
15595      if (AArch64_MC::isExynosScaledAddr(*MI))
15596        return 1075; // M3WriteLE_ReadDefault
15597      return 1075; // M3WriteLE_ReadDefault
15598    }
15599    if (CPUID == 5) { // ExynosM4Model
15600      if ((
15601            AArch64_MC::isScaledAddr(*MI)
15602            || AArch64_MC::isExynosScaledAddr(*MI)
15603          ))
15604        return 1076; // M4WriteLE_ReadDefault
15605      return 1076; // M4WriteLE_ReadDefault
15606      if (AArch64_MC::isExynosScaledAddr(*MI)
15607          && (
15608            AArch64_MC::isScaledAddr(*MI)
15609            || AArch64_MC::isExynosScaledAddr(*MI)
15610          ))
15611        return 1031; // M4WriteL5_ReadDefault
15612      if ((
15613            AArch64_MC::isScaledAddr(*MI)
15614            || AArch64_MC::isExynosScaledAddr(*MI)
15615          ))
15616        return 1032; // M4WriteL4_ReadDefault
15617      return 1032; // M4WriteL4_ReadDefault
15618    }
15619    if (CPUID == 6) { // ExynosM5Model
15620      if ((
15621            AArch64_MC::isScaledAddr(*MI)
15622            || AArch64_MC::isExynosScaledAddr(*MI)
15623          ))
15624        return 1077; // M5WriteLE_ReadDefault
15625      return 1077; // M5WriteLE_ReadDefault
15626      if (AArch64_MC::isExynosScaledAddr(*MI)
15627          && (
15628            AArch64_MC::isScaledAddr(*MI)
15629            || AArch64_MC::isExynosScaledAddr(*MI)
15630          ))
15631        return 1033; // M5WriteL5_ReadDefault
15632      if ((
15633            AArch64_MC::isScaledAddr(*MI)
15634            || AArch64_MC::isExynosScaledAddr(*MI)
15635          ))
15636        return 1034; // M5WriteL4_ReadDefault
15637      return 1034; // M5WriteL4_ReadDefault
15638    }
15639    if (CPUID == 7) { // FalkorModel
15640      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15641    }
15642    if (CPUID == 10) { // ThunderX2T99Model
15643      if (AArch64_MC::isScaledAddr(*MI))
15644        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15645      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15646      if (AArch64_MC::isScaledAddr(*MI))
15647        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15648      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15649      if (AArch64_MC::isScaledAddr(*MI))
15650        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15651      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15652    }
15653    break;
15654  case 348: // LDRSroX
15655    if (CPUID == 1) { // CycloneModel
15656      if (AArch64_MC::isScaledAddr(*MI))
15657        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
15658      return 1030; // WriteLD_ReadDefault
15659    }
15660    if (CPUID == 4) { // ExynosM3Model
15661      if (AArch64_MC::isExynosScaledAddr(*MI))
15662        return 1080; // WriteVLD_ReadDefault
15663      return 1080; // WriteVLD_ReadDefault
15664    }
15665    if (CPUID == 5) { // ExynosM4Model
15666      if ((
15667            AArch64_MC::isScaledAddr(*MI)
15668            || AArch64_MC::isExynosScaledAddr(*MI)
15669          ))
15670        return 1080; // WriteVLD_ReadDefault
15671      return 1080; // WriteVLD_ReadDefault
15672      if (AArch64_MC::isExynosScaledAddr(*MI)
15673          && (
15674            AArch64_MC::isScaledAddr(*MI)
15675            || AArch64_MC::isExynosScaledAddr(*MI)
15676          ))
15677        return 1031; // M4WriteL5_ReadDefault
15678      if ((
15679            AArch64_MC::isScaledAddr(*MI)
15680            || AArch64_MC::isExynosScaledAddr(*MI)
15681          ))
15682        return 1032; // M4WriteL4_ReadDefault
15683      return 1032; // M4WriteL4_ReadDefault
15684    }
15685    if (CPUID == 6) { // ExynosM5Model
15686      if ((
15687            AArch64_MC::isScaledAddr(*MI)
15688            || AArch64_MC::isExynosScaledAddr(*MI)
15689          ))
15690        return 1080; // WriteVLD_ReadDefault
15691      return 1080; // WriteVLD_ReadDefault
15692      if (AArch64_MC::isExynosScaledAddr(*MI)
15693          && (
15694            AArch64_MC::isScaledAddr(*MI)
15695            || AArch64_MC::isExynosScaledAddr(*MI)
15696          ))
15697        return 1033; // M5WriteL5_ReadDefault
15698      if ((
15699            AArch64_MC::isScaledAddr(*MI)
15700            || AArch64_MC::isExynosScaledAddr(*MI)
15701          ))
15702        return 1034; // M5WriteL4_ReadDefault
15703      return 1034; // M5WriteL4_ReadDefault
15704    }
15705    if (CPUID == 7) { // FalkorModel
15706      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
15707    }
15708    if (CPUID == 10) { // ThunderX2T99Model
15709      if (AArch64_MC::isScaledAddr(*MI))
15710        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15711      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
15712      if (AArch64_MC::isScaledAddr(*MI))
15713        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15714      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
15715      if (AArch64_MC::isScaledAddr(*MI))
15716        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
15717      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
15718    }
15719    break;
15720  case 359: // STPDpost
15721    if (CPUID == 10) { // ThunderX2T99Model
15722      if (AArch64_MC::isScaledAddr(*MI))
15723        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15724      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15725      if (AArch64_MC::isScaledAddr(*MI))
15726        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15727      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15728    }
15729    break;
15730  case 360: // STPDpre
15731    if (CPUID == 10) { // ThunderX2T99Model
15732      if (AArch64_MC::isScaledAddr(*MI))
15733        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15734      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15735      if (AArch64_MC::isScaledAddr(*MI))
15736        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15737      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15738    }
15739    break;
15740  case 362: // STPQpost
15741    if (CPUID == 10) { // ThunderX2T99Model
15742      if (AArch64_MC::isScaledAddr(*MI))
15743        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15744      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15745      if (AArch64_MC::isScaledAddr(*MI))
15746        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15747      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15748    }
15749    break;
15750  case 363: // STPQpre
15751    if (CPUID == 10) { // ThunderX2T99Model
15752      if (AArch64_MC::isScaledAddr(*MI))
15753        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15754      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15755      if (AArch64_MC::isScaledAddr(*MI))
15756        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15757      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15758    }
15759    break;
15760  case 364: // STPSpost
15761    if (CPUID == 10) { // ThunderX2T99Model
15762      if (AArch64_MC::isScaledAddr(*MI))
15763        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15764      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15765      if (AArch64_MC::isScaledAddr(*MI))
15766        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15767      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15768    }
15769    break;
15770  case 365: // STPSpre
15771    if (CPUID == 10) { // ThunderX2T99Model
15772      if (AArch64_MC::isScaledAddr(*MI))
15773        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15774      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15775      if (AArch64_MC::isScaledAddr(*MI))
15776        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15777      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15778    }
15779    break;
15780  case 366: // STPWpost
15781    if (CPUID == 10) { // ThunderX2T99Model
15782      if (AArch64_MC::isScaledAddr(*MI))
15783        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15784      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15785      if (AArch64_MC::isScaledAddr(*MI))
15786        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15787      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15788    }
15789    break;
15790  case 367: // STPWpre
15791    if (CPUID == 10) { // ThunderX2T99Model
15792      if (AArch64_MC::isScaledAddr(*MI))
15793        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15794      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15795      if (AArch64_MC::isScaledAddr(*MI))
15796        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15797      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15798    }
15799    break;
15800  case 369: // STPXpost
15801    if (CPUID == 10) { // ThunderX2T99Model
15802      if (AArch64_MC::isScaledAddr(*MI))
15803        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15804      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15805      if (AArch64_MC::isScaledAddr(*MI))
15806        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15807      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15808    }
15809    break;
15810  case 370: // STPXpre
15811    if (CPUID == 10) { // ThunderX2T99Model
15812      if (AArch64_MC::isScaledAddr(*MI))
15813        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15814      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15815      if (AArch64_MC::isScaledAddr(*MI))
15816        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15817      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15818    }
15819    break;
15820  case 371: // STRBBpost
15821    if (CPUID == 10) { // ThunderX2T99Model
15822      if (AArch64_MC::isScaledAddr(*MI))
15823        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15824      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15825      if (AArch64_MC::isScaledAddr(*MI))
15826        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15827      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15828    }
15829    break;
15830  case 372: // STRBBpre
15831    if (CPUID == 10) { // ThunderX2T99Model
15832      if (AArch64_MC::isScaledAddr(*MI))
15833        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15834      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15835      if (AArch64_MC::isScaledAddr(*MI))
15836        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15837      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15838    }
15839    break;
15840  case 373: // STRBpost
15841    if (CPUID == 10) { // ThunderX2T99Model
15842      if (AArch64_MC::isScaledAddr(*MI))
15843        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15844      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15845      if (AArch64_MC::isScaledAddr(*MI))
15846        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15847      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15848    }
15849    break;
15850  case 374: // STRBpre
15851    if (CPUID == 10) { // ThunderX2T99Model
15852      if (AArch64_MC::isScaledAddr(*MI))
15853        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15854      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15855      if (AArch64_MC::isScaledAddr(*MI))
15856        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15857      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15858    }
15859    break;
15860  case 375: // STRBroW
15861    if (CPUID == 1) { // CycloneModel
15862      if (AArch64_MC::isScaledAddr(*MI))
15863        return 1037; // (WriteIS_WriteST)_ReadBaseRS
15864      return 1038; // WriteST_ReadDefault
15865    }
15866    if (CPUID == 4) { // ExynosM3Model
15867      if (AArch64_MC::isExynosScaledAddr(*MI))
15868        return 1091; // M3WriteSA_ReadDefault
15869      return 1091; // M3WriteSA_ReadDefault
15870    }
15871    if (CPUID == 5) { // ExynosM4Model
15872      if ((
15873            AArch64_MC::isScaledAddr(*MI)
15874            || AArch64_MC::isExynosScaledAddr(*MI)
15875          ))
15876        return 1092; // M4WriteVSTK_ReadDefault
15877      return 1092; // M4WriteVSTK_ReadDefault
15878      if (AArch64_MC::isExynosScaledAddr(*MI)
15879          && (
15880            AArch64_MC::isScaledAddr(*MI)
15881            || AArch64_MC::isExynosScaledAddr(*MI)
15882          ))
15883        return 1039; // M4WriteSB_ReadDefault
15884      if ((
15885            AArch64_MC::isScaledAddr(*MI)
15886            || AArch64_MC::isExynosScaledAddr(*MI)
15887          ))
15888        return 1040; // M4WriteS1_ReadDefault
15889      return 1040; // M4WriteS1_ReadDefault
15890    }
15891    if (CPUID == 6) { // ExynosM5Model
15892      if ((
15893            AArch64_MC::isScaledAddr(*MI)
15894            || AArch64_MC::isExynosScaledAddr(*MI)
15895          ))
15896        return 1093; // WriteVST_ReadDefault
15897      return 1093; // WriteVST_ReadDefault
15898      if (AArch64_MC::isExynosScaledAddr(*MI)
15899          && (
15900            AArch64_MC::isScaledAddr(*MI)
15901            || AArch64_MC::isExynosScaledAddr(*MI)
15902          ))
15903        return 1041; // M5WriteSB_ReadDefault
15904      if ((
15905            AArch64_MC::isScaledAddr(*MI)
15906            || AArch64_MC::isExynosScaledAddr(*MI)
15907          ))
15908        return 1042; // M5WriteS1_ReadDefault
15909      return 1042; // M5WriteS1_ReadDefault
15910    }
15911    if (CPUID == 7) { // FalkorModel
15912      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
15913    }
15914    if (CPUID == 10) { // ThunderX2T99Model
15915      if (AArch64_MC::isScaledAddr(*MI))
15916        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15917      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15918      if (AArch64_MC::isScaledAddr(*MI))
15919        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15920      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15921    }
15922    break;
15923  case 376: // STRBroX
15924    if (CPUID == 1) { // CycloneModel
15925      if (AArch64_MC::isScaledAddr(*MI))
15926        return 1037; // (WriteIS_WriteST)_ReadBaseRS
15927      return 1038; // WriteST_ReadDefault
15928    }
15929    if (CPUID == 4) { // ExynosM3Model
15930      if (AArch64_MC::isExynosScaledAddr(*MI))
15931        return 1093; // WriteVST_ReadDefault
15932      return 1093; // WriteVST_ReadDefault
15933    }
15934    if (CPUID == 5) { // ExynosM4Model
15935      if ((
15936            AArch64_MC::isScaledAddr(*MI)
15937            || AArch64_MC::isExynosScaledAddr(*MI)
15938          ))
15939        return 1093; // WriteVST_ReadDefault
15940      return 1093; // WriteVST_ReadDefault
15941      if (AArch64_MC::isExynosScaledAddr(*MI)
15942          && (
15943            AArch64_MC::isScaledAddr(*MI)
15944            || AArch64_MC::isExynosScaledAddr(*MI)
15945          ))
15946        return 1039; // M4WriteSB_ReadDefault
15947      if ((
15948            AArch64_MC::isScaledAddr(*MI)
15949            || AArch64_MC::isExynosScaledAddr(*MI)
15950          ))
15951        return 1040; // M4WriteS1_ReadDefault
15952      return 1040; // M4WriteS1_ReadDefault
15953    }
15954    if (CPUID == 6) { // ExynosM5Model
15955      if ((
15956            AArch64_MC::isScaledAddr(*MI)
15957            || AArch64_MC::isExynosScaledAddr(*MI)
15958          ))
15959        return 1093; // WriteVST_ReadDefault
15960      return 1093; // WriteVST_ReadDefault
15961      if (AArch64_MC::isExynosScaledAddr(*MI)
15962          && (
15963            AArch64_MC::isScaledAddr(*MI)
15964            || AArch64_MC::isExynosScaledAddr(*MI)
15965          ))
15966        return 1041; // M5WriteSB_ReadDefault
15967      if ((
15968            AArch64_MC::isScaledAddr(*MI)
15969            || AArch64_MC::isExynosScaledAddr(*MI)
15970          ))
15971        return 1042; // M5WriteS1_ReadDefault
15972      return 1042; // M5WriteS1_ReadDefault
15973    }
15974    if (CPUID == 7) { // FalkorModel
15975      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
15976    }
15977    if (CPUID == 10) { // ThunderX2T99Model
15978      if (AArch64_MC::isScaledAddr(*MI))
15979        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15980      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15981      if (AArch64_MC::isScaledAddr(*MI))
15982        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15983      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15984    }
15985    break;
15986  case 377: // STRDpost
15987    if (CPUID == 10) { // ThunderX2T99Model
15988      if (AArch64_MC::isScaledAddr(*MI))
15989        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15990      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
15991      if (AArch64_MC::isScaledAddr(*MI))
15992        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15993      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
15994    }
15995    break;
15996  case 378: // STRDpre
15997    if (CPUID == 10) { // ThunderX2T99Model
15998      if (AArch64_MC::isScaledAddr(*MI))
15999        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16000      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16001      if (AArch64_MC::isScaledAddr(*MI))
16002        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16003      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16004    }
16005    break;
16006  case 379: // STRHHpost
16007    if (CPUID == 10) { // ThunderX2T99Model
16008      if (AArch64_MC::isScaledAddr(*MI))
16009        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16010      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16011      if (AArch64_MC::isScaledAddr(*MI))
16012        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16013      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16014    }
16015    break;
16016  case 380: // STRHHpre
16017    if (CPUID == 10) { // ThunderX2T99Model
16018      if (AArch64_MC::isScaledAddr(*MI))
16019        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16020      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16021      if (AArch64_MC::isScaledAddr(*MI))
16022        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16023      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16024    }
16025    break;
16026  case 381: // STRHHroW
16027    if (CPUID == 1) { // CycloneModel
16028      if (AArch64_MC::isScaledAddr(*MI))
16029        return 1037; // (WriteIS_WriteST)_ReadBaseRS
16030      return 1038; // WriteST_ReadDefault
16031    }
16032    if (CPUID == 4) { // ExynosM3Model
16033      if (AArch64_MC::isExynosScaledAddr(*MI))
16034        return 1096; // M3WriteSB_ReadDefault
16035      return 1096; // M3WriteSB_ReadDefault
16036    }
16037    if (CPUID == 5) { // ExynosM4Model
16038      if ((
16039            AArch64_MC::isScaledAddr(*MI)
16040            || AArch64_MC::isExynosScaledAddr(*MI)
16041          ))
16042        return 1039; // M4WriteSB_ReadDefault
16043      return 1039; // M4WriteSB_ReadDefault
16044      if (AArch64_MC::isExynosScaledAddr(*MI)
16045          && (
16046            AArch64_MC::isScaledAddr(*MI)
16047            || AArch64_MC::isExynosScaledAddr(*MI)
16048          ))
16049        return 1039; // M4WriteSB_ReadDefault
16050      if ((
16051            AArch64_MC::isScaledAddr(*MI)
16052            || AArch64_MC::isExynosScaledAddr(*MI)
16053          ))
16054        return 1040; // M4WriteS1_ReadDefault
16055      return 1040; // M4WriteS1_ReadDefault
16056    }
16057    if (CPUID == 6) { // ExynosM5Model
16058      if ((
16059            AArch64_MC::isScaledAddr(*MI)
16060            || AArch64_MC::isExynosScaledAddr(*MI)
16061          ))
16062        return 1041; // M5WriteSB_ReadDefault
16063      return 1041; // M5WriteSB_ReadDefault
16064      if (AArch64_MC::isExynosScaledAddr(*MI)
16065          && (
16066            AArch64_MC::isScaledAddr(*MI)
16067            || AArch64_MC::isExynosScaledAddr(*MI)
16068          ))
16069        return 1041; // M5WriteSB_ReadDefault
16070      if ((
16071            AArch64_MC::isScaledAddr(*MI)
16072            || AArch64_MC::isExynosScaledAddr(*MI)
16073          ))
16074        return 1042; // M5WriteS1_ReadDefault
16075      return 1042; // M5WriteS1_ReadDefault
16076    }
16077    if (CPUID == 7) { // FalkorModel
16078      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
16079    }
16080    if (CPUID == 10) { // ThunderX2T99Model
16081      if (AArch64_MC::isScaledAddr(*MI))
16082        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16083      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16084      if (AArch64_MC::isScaledAddr(*MI))
16085        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16086      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16087    }
16088    break;
16089  case 382: // STRHHroX
16090    if (CPUID == 1) { // CycloneModel
16091      if (AArch64_MC::isScaledAddr(*MI))
16092        return 1037; // (WriteIS_WriteST)_ReadBaseRS
16093      return 1038; // WriteST_ReadDefault
16094    }
16095    if (CPUID == 4) { // ExynosM3Model
16096      if (AArch64_MC::isExynosScaledAddr(*MI))
16097        return 1038; // WriteST_ReadDefault
16098      return 1038; // WriteST_ReadDefault
16099    }
16100    if (CPUID == 5) { // ExynosM4Model
16101      if ((
16102            AArch64_MC::isScaledAddr(*MI)
16103            || AArch64_MC::isExynosScaledAddr(*MI)
16104          ))
16105        return 1038; // WriteST_ReadDefault
16106      return 1038; // WriteST_ReadDefault
16107      if (AArch64_MC::isExynosScaledAddr(*MI)
16108          && (
16109            AArch64_MC::isScaledAddr(*MI)
16110            || AArch64_MC::isExynosScaledAddr(*MI)
16111          ))
16112        return 1039; // M4WriteSB_ReadDefault
16113      if ((
16114            AArch64_MC::isScaledAddr(*MI)
16115            || AArch64_MC::isExynosScaledAddr(*MI)
16116          ))
16117        return 1040; // M4WriteS1_ReadDefault
16118      return 1040; // M4WriteS1_ReadDefault
16119    }
16120    if (CPUID == 6) { // ExynosM5Model
16121      if ((
16122            AArch64_MC::isScaledAddr(*MI)
16123            || AArch64_MC::isExynosScaledAddr(*MI)
16124          ))
16125        return 1038; // WriteST_ReadDefault
16126      return 1038; // WriteST_ReadDefault
16127      if (AArch64_MC::isExynosScaledAddr(*MI)
16128          && (
16129            AArch64_MC::isScaledAddr(*MI)
16130            || AArch64_MC::isExynosScaledAddr(*MI)
16131          ))
16132        return 1041; // M5WriteSB_ReadDefault
16133      if ((
16134            AArch64_MC::isScaledAddr(*MI)
16135            || AArch64_MC::isExynosScaledAddr(*MI)
16136          ))
16137        return 1042; // M5WriteS1_ReadDefault
16138      return 1042; // M5WriteS1_ReadDefault
16139    }
16140    if (CPUID == 7) { // FalkorModel
16141      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
16142    }
16143    if (CPUID == 10) { // ThunderX2T99Model
16144      if (AArch64_MC::isScaledAddr(*MI))
16145        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16146      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16147      if (AArch64_MC::isScaledAddr(*MI))
16148        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16149      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16150    }
16151    break;
16152  case 383: // STRHpost
16153    if (CPUID == 10) { // ThunderX2T99Model
16154      if (AArch64_MC::isScaledAddr(*MI))
16155        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16156      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16157      if (AArch64_MC::isScaledAddr(*MI))
16158        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16159      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16160    }
16161    break;
16162  case 384: // STRHpre
16163    if (CPUID == 10) { // ThunderX2T99Model
16164      if (AArch64_MC::isScaledAddr(*MI))
16165        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16166      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16167      if (AArch64_MC::isScaledAddr(*MI))
16168        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16169      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16170    }
16171    break;
16172  case 385: // STRHroW
16173    if (CPUID == 1) { // CycloneModel
16174      if (AArch64_MC::isScaledAddr(*MI))
16175        return 1037; // (WriteIS_WriteST)_ReadBaseRS
16176      return 1038; // WriteST_ReadDefault
16177    }
16178    if (CPUID == 4) { // ExynosM3Model
16179      if (AArch64_MC::isExynosScaledAddr(*MI))
16180        return 1091; // M3WriteSA_ReadDefault
16181      return 1091; // M3WriteSA_ReadDefault
16182    }
16183    if (CPUID == 5) { // ExynosM4Model
16184      if ((
16185            AArch64_MC::isScaledAddr(*MI)
16186            || AArch64_MC::isExynosScaledAddr(*MI)
16187          ))
16188        return 1092; // M4WriteVSTK_ReadDefault
16189      return 1092; // M4WriteVSTK_ReadDefault
16190      if (AArch64_MC::isExynosScaledAddr(*MI)
16191          && (
16192            AArch64_MC::isScaledAddr(*MI)
16193            || AArch64_MC::isExynosScaledAddr(*MI)
16194          ))
16195        return 1039; // M4WriteSB_ReadDefault
16196      if ((
16197            AArch64_MC::isScaledAddr(*MI)
16198            || AArch64_MC::isExynosScaledAddr(*MI)
16199          ))
16200        return 1040; // M4WriteS1_ReadDefault
16201      return 1040; // M4WriteS1_ReadDefault
16202    }
16203    if (CPUID == 6) { // ExynosM5Model
16204      if ((
16205            AArch64_MC::isScaledAddr(*MI)
16206            || AArch64_MC::isExynosScaledAddr(*MI)
16207          ))
16208        return 1093; // WriteVST_ReadDefault
16209      return 1093; // WriteVST_ReadDefault
16210      if (AArch64_MC::isExynosScaledAddr(*MI)
16211          && (
16212            AArch64_MC::isScaledAddr(*MI)
16213            || AArch64_MC::isExynosScaledAddr(*MI)
16214          ))
16215        return 1041; // M5WriteSB_ReadDefault
16216      if ((
16217            AArch64_MC::isScaledAddr(*MI)
16218            || AArch64_MC::isExynosScaledAddr(*MI)
16219          ))
16220        return 1042; // M5WriteS1_ReadDefault
16221      return 1042; // M5WriteS1_ReadDefault
16222    }
16223    if (CPUID == 7) { // FalkorModel
16224      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
16225    }
16226    if (CPUID == 10) { // ThunderX2T99Model
16227      if (AArch64_MC::isScaledAddr(*MI))
16228        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16229      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16230      if (AArch64_MC::isScaledAddr(*MI))
16231        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16232      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16233    }
16234    break;
16235  case 386: // STRHroX
16236    if (CPUID == 1) { // CycloneModel
16237      if (AArch64_MC::isScaledAddr(*MI))
16238        return 1037; // (WriteIS_WriteST)_ReadBaseRS
16239      return 1038; // WriteST_ReadDefault
16240    }
16241    if (CPUID == 4) { // ExynosM3Model
16242      if (AArch64_MC::isExynosScaledAddr(*MI))
16243        return 1093; // WriteVST_ReadDefault
16244      return 1093; // WriteVST_ReadDefault
16245    }
16246    if (CPUID == 5) { // ExynosM4Model
16247      if ((
16248            AArch64_MC::isScaledAddr(*MI)
16249            || AArch64_MC::isExynosScaledAddr(*MI)
16250          ))
16251        return 1093; // WriteVST_ReadDefault
16252      return 1093; // WriteVST_ReadDefault
16253      if (AArch64_MC::isExynosScaledAddr(*MI)
16254          && (
16255            AArch64_MC::isScaledAddr(*MI)
16256            || AArch64_MC::isExynosScaledAddr(*MI)
16257          ))
16258        return 1039; // M4WriteSB_ReadDefault
16259      if ((
16260            AArch64_MC::isScaledAddr(*MI)
16261            || AArch64_MC::isExynosScaledAddr(*MI)
16262          ))
16263        return 1040; // M4WriteS1_ReadDefault
16264      return 1040; // M4WriteS1_ReadDefault
16265    }
16266    if (CPUID == 6) { // ExynosM5Model
16267      if ((
16268            AArch64_MC::isScaledAddr(*MI)
16269            || AArch64_MC::isExynosScaledAddr(*MI)
16270          ))
16271        return 1093; // WriteVST_ReadDefault
16272      return 1093; // WriteVST_ReadDefault
16273      if (AArch64_MC::isExynosScaledAddr(*MI)
16274          && (
16275            AArch64_MC::isScaledAddr(*MI)
16276            || AArch64_MC::isExynosScaledAddr(*MI)
16277          ))
16278        return 1041; // M5WriteSB_ReadDefault
16279      if ((
16280            AArch64_MC::isScaledAddr(*MI)
16281            || AArch64_MC::isExynosScaledAddr(*MI)
16282          ))
16283        return 1042; // M5WriteS1_ReadDefault
16284      return 1042; // M5WriteS1_ReadDefault
16285    }
16286    if (CPUID == 7) { // FalkorModel
16287      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
16288    }
16289    if (CPUID == 10) { // ThunderX2T99Model
16290      if (AArch64_MC::isScaledAddr(*MI))
16291        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16292      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16293      if (AArch64_MC::isScaledAddr(*MI))
16294        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16295      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16296    }
16297    break;
16298  case 387: // STRQpost
16299    if (CPUID == 10) { // ThunderX2T99Model
16300      if (AArch64_MC::isScaledAddr(*MI))
16301        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16302      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16303      if (AArch64_MC::isScaledAddr(*MI))
16304        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16305      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16306    }
16307    break;
16308  case 388: // STRQpre
16309    if (CPUID == 10) { // ThunderX2T99Model
16310      if (AArch64_MC::isScaledAddr(*MI))
16311        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16312      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16313      if (AArch64_MC::isScaledAddr(*MI))
16314        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16315      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16316    }
16317    break;
16318  case 389: // STRQroW
16319    if (CPUID == 1) { // CycloneModel
16320      if (AArch64_MC::isScaledAddr(*MI))
16321        return 1037; // (WriteIS_WriteST)_ReadBaseRS
16322      return 1038; // WriteST_ReadDefault
16323    }
16324    if (CPUID == 4) { // ExynosM3Model
16325      if (AArch64_MC::isExynosScaledAddr(*MI))
16326        return 1091; // M3WriteSA_ReadDefault
16327      return 1091; // M3WriteSA_ReadDefault
16328    }
16329    if (CPUID == 5) { // ExynosM4Model
16330      if ((
16331            AArch64_MC::isScaledAddr(*MI)
16332            || AArch64_MC::isExynosScaledAddr(*MI)
16333          ))
16334        return 1092; // M4WriteVSTK_ReadDefault
16335      return 1092; // M4WriteVSTK_ReadDefault
16336      if (AArch64_MC::isExynosScaledAddr(*MI)
16337          && (
16338            AArch64_MC::isScaledAddr(*MI)
16339            || AArch64_MC::isExynosScaledAddr(*MI)
16340          ))
16341        return 1039; // M4WriteSB_ReadDefault
16342      if ((
16343            AArch64_MC::isScaledAddr(*MI)
16344            || AArch64_MC::isExynosScaledAddr(*MI)
16345          ))
16346        return 1040; // M4WriteS1_ReadDefault
16347      return 1040; // M4WriteS1_ReadDefault
16348    }
16349    if (CPUID == 6) { // ExynosM5Model
16350      if ((
16351            AArch64_MC::isScaledAddr(*MI)
16352            || AArch64_MC::isExynosScaledAddr(*MI)
16353          ))
16354        return 1099; // M5WriteVSTK_ReadDefault
16355      return 1099; // M5WriteVSTK_ReadDefault
16356      if (AArch64_MC::isExynosScaledAddr(*MI)
16357          && (
16358            AArch64_MC::isScaledAddr(*MI)
16359            || AArch64_MC::isExynosScaledAddr(*MI)
16360          ))
16361        return 1041; // M5WriteSB_ReadDefault
16362      if ((
16363            AArch64_MC::isScaledAddr(*MI)
16364            || AArch64_MC::isExynosScaledAddr(*MI)
16365          ))
16366        return 1042; // M5WriteS1_ReadDefault
16367      return 1042; // M5WriteS1_ReadDefault
16368    }
16369    if (CPUID == 7) { // FalkorModel
16370      return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
16371    }
16372    if (CPUID == 10) { // ThunderX2T99Model
16373      if (AArch64_MC::isScaledAddr(*MI))
16374        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16375      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16376      if (AArch64_MC::isScaledAddr(*MI))
16377        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16378      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16379    }
16380    break;
16381  case 390: // STRQroX
16382    if (CPUID == 1) { // CycloneModel
16383      if (AArch64_MC::isScaledAddr(*MI))
16384        return 1037; // (WriteIS_WriteST)_ReadBaseRS
16385      return 1038; // WriteST_ReadDefault
16386    }
16387    if (CPUID == 4) { // ExynosM3Model
16388      if (AArch64_MC::isExynosScaledAddr(*MI))
16389        return 1091; // M3WriteSA_ReadDefault
16390      return 1093; // WriteVST_ReadDefault
16391    }
16392    if (CPUID == 5) { // ExynosM4Model
16393      if (AArch64_MC::isExynosScaledAddr(*MI)
16394          && (
16395            AArch64_MC::isScaledAddr(*MI)
16396            || AArch64_MC::isExynosScaledAddr(*MI)
16397          ))
16398        return 1092; // M4WriteVSTK_ReadDefault
16399      if ((
16400            AArch64_MC::isScaledAddr(*MI)
16401            || AArch64_MC::isExynosScaledAddr(*MI)
16402          ))
16403        return 1093; // WriteVST_ReadDefault
16404      return 1093; // WriteVST_ReadDefault
16405      if (AArch64_MC::isExynosScaledAddr(*MI)
16406          && (
16407            AArch64_MC::isScaledAddr(*MI)
16408            || AArch64_MC::isExynosScaledAddr(*MI)
16409          ))
16410        return 1039; // M4WriteSB_ReadDefault
16411      if ((
16412            AArch64_MC::isScaledAddr(*MI)
16413            || AArch64_MC::isExynosScaledAddr(*MI)
16414          ))
16415        return 1040; // M4WriteS1_ReadDefault
16416      return 1040; // M4WriteS1_ReadDefault
16417    }
16418    if (CPUID == 6) { // ExynosM5Model
16419      if (AArch64_MC::isExynosScaledAddr(*MI)
16420          && (
16421            AArch64_MC::isScaledAddr(*MI)
16422            || AArch64_MC::isExynosScaledAddr(*MI)
16423          ))
16424        return 1099; // M5WriteVSTK_ReadDefault
16425      if ((
16426            AArch64_MC::isScaledAddr(*MI)
16427            || AArch64_MC::isExynosScaledAddr(*MI)
16428          ))
16429        return 1093; // WriteVST_ReadDefault
16430      return 1093; // WriteVST_ReadDefault
16431      if (AArch64_MC::isExynosScaledAddr(*MI)
16432          && (
16433            AArch64_MC::isScaledAddr(*MI)
16434            || AArch64_MC::isExynosScaledAddr(*MI)
16435          ))
16436        return 1041; // M5WriteSB_ReadDefault
16437      if ((
16438            AArch64_MC::isScaledAddr(*MI)
16439            || AArch64_MC::isExynosScaledAddr(*MI)
16440          ))
16441        return 1042; // M5WriteS1_ReadDefault
16442      return 1042; // M5WriteS1_ReadDefault
16443    }
16444    if (CPUID == 7) { // FalkorModel
16445      return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
16446    }
16447    if (CPUID == 10) { // ThunderX2T99Model
16448      if (AArch64_MC::isScaledAddr(*MI))
16449        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16450      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16451      if (AArch64_MC::isScaledAddr(*MI))
16452        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16453      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16454    }
16455    break;
16456  case 392: // STRSpost
16457    if (CPUID == 10) { // ThunderX2T99Model
16458      if (AArch64_MC::isScaledAddr(*MI))
16459        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16460      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16461      if (AArch64_MC::isScaledAddr(*MI))
16462        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16463      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16464    }
16465    break;
16466  case 393: // STRSpre
16467    if (CPUID == 10) { // ThunderX2T99Model
16468      if (AArch64_MC::isScaledAddr(*MI))
16469        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16470      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16471      if (AArch64_MC::isScaledAddr(*MI))
16472        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16473      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16474    }
16475    break;
16476  case 394: // STRWpost
16477    if (CPUID == 10) { // ThunderX2T99Model
16478      if (AArch64_MC::isScaledAddr(*MI))
16479        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16480      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16481      if (AArch64_MC::isScaledAddr(*MI))
16482        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16483      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16484    }
16485    break;
16486  case 395: // STRWpre
16487    if (CPUID == 10) { // ThunderX2T99Model
16488      if (AArch64_MC::isScaledAddr(*MI))
16489        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16490      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16491      if (AArch64_MC::isScaledAddr(*MI))
16492        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16493      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16494    }
16495    break;
16496  case 396: // STRXpost
16497    if (CPUID == 10) { // ThunderX2T99Model
16498      if (AArch64_MC::isScaledAddr(*MI))
16499        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16500      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16501      if (AArch64_MC::isScaledAddr(*MI))
16502        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16503      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16504    }
16505    break;
16506  case 397: // STRXpre
16507    if (CPUID == 10) { // ThunderX2T99Model
16508      if (AArch64_MC::isScaledAddr(*MI))
16509        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16510      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
16511      if (AArch64_MC::isScaledAddr(*MI))
16512        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16513      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
16514    }
16515    break;
16516  case 399: // MOVZWi_MOVZXi
16517    if (CPUID == 1) { // CycloneModel
16518      return 1100; // WriteImm
16519    }
16520    if (CPUID == 7) { // FalkorModel
16521      return 1102; // FalkorWr_1XYZB_0cyc
16522    }
16523    break;
16524  case 400: // ANDWri_ANDXri
16525    if (CPUID == 1) { // CycloneModel
16526      return 1100; // WriteImm
16527    }
16528    break;
16529  case 401: // ORRXrr_ADDXrr
16530    if (CPUID == 1) { // CycloneModel
16531      return 1044; // WriteI
16532    }
16533    break;
16534  case 403: // ORRv16i8
16535    if (CPUID == 1) { // CycloneModel
16536      return 1103; // WriteV
16537    }
16538    break;
16539  case 472: // FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v
16540    if (CPUID == 5) { // ExynosM4Model
16541      if ((
16542            MI->getOperand(0).isReg()
16543            && (
16544              MI->getOperand(0).getReg() == AArch64::Q0
16545              || MI->getOperand(0).getReg() == AArch64::Q1
16546              || MI->getOperand(0).getReg() == AArch64::Q2
16547              || MI->getOperand(0).getReg() == AArch64::Q3
16548              || MI->getOperand(0).getReg() == AArch64::Q4
16549              || MI->getOperand(0).getReg() == AArch64::Q5
16550              || MI->getOperand(0).getReg() == AArch64::Q6
16551              || MI->getOperand(0).getReg() == AArch64::Q7
16552              || MI->getOperand(0).getReg() == AArch64::Q8
16553              || MI->getOperand(0).getReg() == AArch64::Q9
16554              || MI->getOperand(0).getReg() == AArch64::Q10
16555              || MI->getOperand(0).getReg() == AArch64::Q11
16556              || MI->getOperand(0).getReg() == AArch64::Q12
16557              || MI->getOperand(0).getReg() == AArch64::Q13
16558              || MI->getOperand(0).getReg() == AArch64::Q14
16559              || MI->getOperand(0).getReg() == AArch64::Q15
16560              || MI->getOperand(0).getReg() == AArch64::Q16
16561              || MI->getOperand(0).getReg() == AArch64::Q17
16562              || MI->getOperand(0).getReg() == AArch64::Q18
16563              || MI->getOperand(0).getReg() == AArch64::Q19
16564              || MI->getOperand(0).getReg() == AArch64::Q20
16565              || MI->getOperand(0).getReg() == AArch64::Q21
16566              || MI->getOperand(0).getReg() == AArch64::Q22
16567              || MI->getOperand(0).getReg() == AArch64::Q23
16568              || MI->getOperand(0).getReg() == AArch64::Q24
16569              || MI->getOperand(0).getReg() == AArch64::Q25
16570              || MI->getOperand(0).getReg() == AArch64::Q26
16571              || MI->getOperand(0).getReg() == AArch64::Q27
16572              || MI->getOperand(0).getReg() == AArch64::Q28
16573              || MI->getOperand(0).getReg() == AArch64::Q29
16574              || MI->getOperand(0).getReg() == AArch64::Q30
16575              || MI->getOperand(0).getReg() == AArch64::Q31
16576            )
16577          ))
16578        return 1069; // M4WriteNEONO
16579      return 1070; // M4WriteNEONN
16580    }
16581    if (CPUID == 6) { // ExynosM5Model
16582      if ((
16583            MI->getOperand(0).isReg()
16584            && (
16585              MI->getOperand(0).getReg() == AArch64::Q0
16586              || MI->getOperand(0).getReg() == AArch64::Q1
16587              || MI->getOperand(0).getReg() == AArch64::Q2
16588              || MI->getOperand(0).getReg() == AArch64::Q3
16589              || MI->getOperand(0).getReg() == AArch64::Q4
16590              || MI->getOperand(0).getReg() == AArch64::Q5
16591              || MI->getOperand(0).getReg() == AArch64::Q6
16592              || MI->getOperand(0).getReg() == AArch64::Q7
16593              || MI->getOperand(0).getReg() == AArch64::Q8
16594              || MI->getOperand(0).getReg() == AArch64::Q9
16595              || MI->getOperand(0).getReg() == AArch64::Q10
16596              || MI->getOperand(0).getReg() == AArch64::Q11
16597              || MI->getOperand(0).getReg() == AArch64::Q12
16598              || MI->getOperand(0).getReg() == AArch64::Q13
16599              || MI->getOperand(0).getReg() == AArch64::Q14
16600              || MI->getOperand(0).getReg() == AArch64::Q15
16601              || MI->getOperand(0).getReg() == AArch64::Q16
16602              || MI->getOperand(0).getReg() == AArch64::Q17
16603              || MI->getOperand(0).getReg() == AArch64::Q18
16604              || MI->getOperand(0).getReg() == AArch64::Q19
16605              || MI->getOperand(0).getReg() == AArch64::Q20
16606              || MI->getOperand(0).getReg() == AArch64::Q21
16607              || MI->getOperand(0).getReg() == AArch64::Q22
16608              || MI->getOperand(0).getReg() == AArch64::Q23
16609              || MI->getOperand(0).getReg() == AArch64::Q24
16610              || MI->getOperand(0).getReg() == AArch64::Q25
16611              || MI->getOperand(0).getReg() == AArch64::Q26
16612              || MI->getOperand(0).getReg() == AArch64::Q27
16613              || MI->getOperand(0).getReg() == AArch64::Q28
16614              || MI->getOperand(0).getReg() == AArch64::Q29
16615              || MI->getOperand(0).getReg() == AArch64::Q30
16616              || MI->getOperand(0).getReg() == AArch64::Q31
16617            )
16618          ))
16619        return 1071; // M5WriteNEONO
16620      return 1072; // M5WriteNEONN
16621    }
16622    break;
16623  case 561: // ADDXrr
16624    if (CPUID == 1) { // CycloneModel
16625      return 1044; // WriteI
16626    }
16627    break;
16628  case 565: // ANDSWrs_ANDSXrs_ANDWrs_ANDXrs
16629    if (CPUID == 2) { // CortexA53Model
16630      if (AArch64_MC::hasShiftedReg(*MI))
16631        return 1015; // WriteISReg_ReadI_A53ReadShifted
16632      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16633    }
16634    if (CPUID == 3) { // CortexA57Model
16635      if (AArch64_MC::hasShiftedReg(*MI))
16636        return 1057; // WriteISReg
16637      return 1044; // WriteI
16638    }
16639    if (CPUID == 4) { // ExynosM3Model
16640      if (AArch64_MC::isExynosArithFast(*MI))
16641        return 1060; // M3WriteA1
16642      if (AArch64_MC::isExynosLogicFast(*MI))
16643        return 1060; // M3WriteA1
16644      return 1061; // M3WriteAA
16645    }
16646    if (CPUID == 5) { // ExynosM4Model
16647      if (AArch64_MC::isExynosArithFast(*MI))
16648        return 1062; // M4WriteA1
16649      if (AArch64_MC::isExynosLogicExFast(*MI))
16650        return 1062; // M4WriteA1
16651      return 1063; // M4WriteAA
16652    }
16653    if (CPUID == 6) { // ExynosM5Model
16654      if (AArch64_MC::isExynosArithFast(*MI))
16655        return 1064; // M5WriteA1X
16656      if (AArch64_MC::isExynosLogicExFast(*MI))
16657        return 1064; // M5WriteA1X
16658      return 1065; // M5WriteAAX
16659    }
16660    if (CPUID == 9) { // ThunderXT8XModel
16661      if (AArch64_MC::hasShiftedReg(*MI))
16662        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16663      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16664    }
16665    break;
16666  case 567: // BICSWrs_BICSXrs_BICWrs_BICXrs
16667    if (CPUID == 2) { // CortexA53Model
16668      if (AArch64_MC::hasShiftedReg(*MI))
16669        return 1015; // WriteISReg_ReadI_A53ReadShifted
16670      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16671    }
16672    if (CPUID == 3) { // CortexA57Model
16673      if (AArch64_MC::hasShiftedReg(*MI))
16674        return 1057; // WriteISReg
16675      return 1044; // WriteI
16676    }
16677    if (CPUID == 4) { // ExynosM3Model
16678      if (AArch64_MC::isExynosArithFast(*MI))
16679        return 1060; // M3WriteA1
16680      if (AArch64_MC::isExynosLogicFast(*MI))
16681        return 1060; // M3WriteA1
16682      return 1061; // M3WriteAA
16683    }
16684    if (CPUID == 5) { // ExynosM4Model
16685      if (AArch64_MC::isExynosArithFast(*MI))
16686        return 1062; // M4WriteA1
16687      if (AArch64_MC::isExynosLogicExFast(*MI))
16688        return 1062; // M4WriteA1
16689      return 1063; // M4WriteAA
16690    }
16691    if (CPUID == 6) { // ExynosM5Model
16692      if (AArch64_MC::isExynosArithFast(*MI))
16693        return 1064; // M5WriteA1X
16694      if (AArch64_MC::isExynosLogicExFast(*MI))
16695        return 1064; // M5WriteA1X
16696      return 1065; // M5WriteAAX
16697    }
16698    if (CPUID == 9) { // ThunderXT8XModel
16699      if (AArch64_MC::hasShiftedReg(*MI))
16700        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16701      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16702    }
16703    break;
16704  case 569: // EONWrs_EONXrs
16705    if (CPUID == 2) { // CortexA53Model
16706      if (AArch64_MC::hasShiftedReg(*MI))
16707        return 1015; // WriteISReg_ReadI_A53ReadShifted
16708      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16709    }
16710    if (CPUID == 3) { // CortexA57Model
16711      if (AArch64_MC::hasShiftedReg(*MI))
16712        return 1057; // WriteISReg
16713      return 1044; // WriteI
16714    }
16715    if (CPUID == 4) { // ExynosM3Model
16716      if (AArch64_MC::isExynosArithFast(*MI))
16717        return 1060; // M3WriteA1
16718      if (AArch64_MC::isExynosLogicFast(*MI))
16719        return 1060; // M3WriteA1
16720      return 1061; // M3WriteAA
16721    }
16722    if (CPUID == 5) { // ExynosM4Model
16723      if (AArch64_MC::isExynosArithFast(*MI))
16724        return 1062; // M4WriteA1
16725      if (AArch64_MC::isExynosLogicExFast(*MI))
16726        return 1062; // M4WriteA1
16727      return 1063; // M4WriteAA
16728    }
16729    if (CPUID == 6) { // ExynosM5Model
16730      if (AArch64_MC::isExynosArithFast(*MI))
16731        return 1064; // M5WriteA1X
16732      if (AArch64_MC::isExynosLogicExFast(*MI))
16733        return 1064; // M5WriteA1X
16734      return 1065; // M5WriteAAX
16735    }
16736    if (CPUID == 9) { // ThunderXT8XModel
16737      if (AArch64_MC::hasShiftedReg(*MI))
16738        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16739      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16740    }
16741    break;
16742  case 572: // EORWrs_EORXrs
16743    if (CPUID == 2) { // CortexA53Model
16744      if (AArch64_MC::hasShiftedReg(*MI))
16745        return 1015; // WriteISReg_ReadI_A53ReadShifted
16746      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16747    }
16748    if (CPUID == 3) { // CortexA57Model
16749      if (AArch64_MC::hasShiftedReg(*MI))
16750        return 1057; // WriteISReg
16751      return 1044; // WriteI
16752    }
16753    if (CPUID == 4) { // ExynosM3Model
16754      if (AArch64_MC::isExynosArithFast(*MI))
16755        return 1060; // M3WriteA1
16756      if (AArch64_MC::isExynosLogicFast(*MI))
16757        return 1060; // M3WriteA1
16758      return 1061; // M3WriteAA
16759    }
16760    if (CPUID == 5) { // ExynosM4Model
16761      if (AArch64_MC::isExynosArithFast(*MI))
16762        return 1062; // M4WriteA1
16763      if (AArch64_MC::isExynosLogicExFast(*MI))
16764        return 1062; // M4WriteA1
16765      return 1063; // M4WriteAA
16766    }
16767    if (CPUID == 6) { // ExynosM5Model
16768      if (AArch64_MC::isExynosArithFast(*MI))
16769        return 1064; // M5WriteA1X
16770      if (AArch64_MC::isExynosLogicExFast(*MI))
16771        return 1064; // M5WriteA1X
16772      return 1065; // M5WriteAAX
16773    }
16774    if (CPUID == 9) { // ThunderXT8XModel
16775      if (AArch64_MC::hasShiftedReg(*MI))
16776        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16777      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16778    }
16779    break;
16780  case 574: // ORNWrs_ORNXrs
16781    if (CPUID == 2) { // CortexA53Model
16782      if (AArch64_MC::hasShiftedReg(*MI))
16783        return 1015; // WriteISReg_ReadI_A53ReadShifted
16784      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16785    }
16786    if (CPUID == 3) { // CortexA57Model
16787      if (AArch64_MC::hasShiftedReg(*MI))
16788        return 1057; // WriteISReg
16789      return 1044; // WriteI
16790    }
16791    if (CPUID == 4) { // ExynosM3Model
16792      if (AArch64_MC::isExynosArithFast(*MI))
16793        return 1060; // M3WriteA1
16794      if (AArch64_MC::isExynosLogicFast(*MI))
16795        return 1060; // M3WriteA1
16796      return 1061; // M3WriteAA
16797    }
16798    if (CPUID == 5) { // ExynosM4Model
16799      if (AArch64_MC::isExynosArithFast(*MI))
16800        return 1062; // M4WriteA1
16801      if (AArch64_MC::isExynosLogicExFast(*MI))
16802        return 1062; // M4WriteA1
16803      return 1063; // M4WriteAA
16804    }
16805    if (CPUID == 6) { // ExynosM5Model
16806      if (AArch64_MC::isExynosArithFast(*MI))
16807        return 1064; // M5WriteA1X
16808      if (AArch64_MC::isExynosLogicExFast(*MI))
16809        return 1064; // M5WriteA1X
16810      return 1065; // M5WriteAAX
16811    }
16812    if (CPUID == 9) { // ThunderXT8XModel
16813      if (AArch64_MC::hasShiftedReg(*MI))
16814        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16815      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16816    }
16817    break;
16818  case 575: // ORRWri_ORRXri
16819    if (CPUID == 4) { // ExynosM3Model
16820      if (AArch64_MC::isZeroIdiom(*MI))
16821        return 1046; // M3WriteZ0
16822      if (AArch64_MC::isExynosLogicFast(*MI))
16823        return 1060; // M3WriteA1
16824      return 1061; // M3WriteAA
16825    }
16826    if (CPUID == 5) { // ExynosM4Model
16827      if (AArch64_MC::isExynosResetFast(*MI))
16828        return 1048; // M4WriteZ0
16829      if (AArch64_MC::isExynosArithFast(*MI))
16830        return 1062; // M4WriteA1
16831      if (AArch64_MC::isExynosLogicExFast(*MI))
16832        return 1062; // M4WriteA1
16833      return 1063; // M4WriteAA
16834    }
16835    if (CPUID == 6) { // ExynosM5Model
16836      if (AArch64_MC::isExynosResetFast(*MI))
16837        return 1050; // M5WriteZ0
16838      if (AArch64_MC::isExynosArithFast(*MI))
16839        return 1064; // M5WriteA1X
16840      if (AArch64_MC::isExynosLogicExFast(*MI))
16841        return 1064; // M5WriteA1X
16842      return 1065; // M5WriteAAX
16843    }
16844    if (CPUID == 7) { // FalkorModel
16845      return 1058; // FalkorWr_1XYZ_1cyc
16846    }
16847    break;
16848  case 577: // ORRWrs_ORRXrs
16849    if (CPUID == 2) { // CortexA53Model
16850      if (AArch64_MC::hasShiftedReg(*MI))
16851        return 1015; // WriteISReg_ReadI_A53ReadShifted
16852      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16853    }
16854    if (CPUID == 3) { // CortexA57Model
16855      if (AArch64_MC::hasShiftedReg(*MI))
16856        return 1057; // WriteISReg
16857      return 1044; // WriteI
16858    }
16859    if (CPUID == 4) { // ExynosM3Model
16860      if (AArch64_MC::isCopyIdiom(*MI))
16861        return 1046; // M3WriteZ0
16862      if (AArch64_MC::isExynosArithFast(*MI))
16863        return 1060; // M3WriteA1
16864      if (AArch64_MC::isExynosLogicFast(*MI))
16865        return 1060; // M3WriteA1
16866      return 1061; // M3WriteAA
16867    }
16868    if (CPUID == 5) { // ExynosM4Model
16869      if (AArch64_MC::isCopyIdiom(*MI))
16870        return 1048; // M4WriteZ0
16871      if (AArch64_MC::isExynosArithFast(*MI))
16872        return 1062; // M4WriteA1
16873      if (AArch64_MC::isExynosLogicExFast(*MI))
16874        return 1062; // M4WriteA1
16875      return 1063; // M4WriteAA
16876    }
16877    if (CPUID == 6) { // ExynosM5Model
16878      if (AArch64_MC::isCopyIdiom(*MI))
16879        return 1050; // M5WriteZ0
16880      if (AArch64_MC::isExynosArithFast(*MI))
16881        return 1064; // M5WriteA1X
16882      if (AArch64_MC::isExynosLogicExFast(*MI))
16883        return 1064; // M5WriteA1X
16884      return 1065; // M5WriteAAX
16885    }
16886    if (CPUID == 9) { // ThunderXT8XModel
16887      if (AArch64_MC::hasShiftedReg(*MI))
16888        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16889      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16890    }
16891    break;
16892  case 581: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs
16893    if (CPUID == 2) { // CortexA53Model
16894      if (AArch64_MC::hasShiftedReg(*MI))
16895        return 1015; // WriteISReg_ReadI_A53ReadShifted
16896      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
16897    }
16898    if (CPUID == 3) { // CortexA57Model
16899      if (AArch64_MC::hasShiftedReg(*MI))
16900        return 1057; // WriteISReg
16901      return 1044; // WriteI
16902    }
16903    if (CPUID == 4) { // ExynosM3Model
16904      if (AArch64_MC::isExynosArithFast(*MI))
16905        return 1060; // M3WriteA1
16906      if (AArch64_MC::isExynosLogicFast(*MI))
16907        return 1060; // M3WriteA1
16908      return 1061; // M3WriteAA
16909    }
16910    if (CPUID == 5) { // ExynosM4Model
16911      if (AArch64_MC::isExynosArithFast(*MI))
16912        return 1062; // M4WriteA1
16913      if (AArch64_MC::isExynosLogicExFast(*MI))
16914        return 1062; // M4WriteA1
16915      return 1063; // M4WriteAA
16916    }
16917    if (CPUID == 6) { // ExynosM5Model
16918      if (AArch64_MC::isExynosArithFast(*MI))
16919        return 1064; // M5WriteA1X
16920      if (AArch64_MC::isExynosLogicExFast(*MI))
16921        return 1064; // M5WriteA1X
16922      return 1065; // M5WriteAAX
16923    }
16924    if (CPUID == 7) { // FalkorModel
16925      return 1059; // FalkorWr_2XYZ_2cyc
16926    }
16927    if (CPUID == 9) { // ThunderXT8XModel
16928      if (AArch64_MC::hasShiftedReg(*MI))
16929        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
16930      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
16931    }
16932    break;
16933  case 582: // ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64
16934    if (CPUID == 2) { // CortexA53Model
16935      if (AArch64_MC::hasExtendedReg(*MI))
16936        return 1019; // WriteIEReg_ReadI_A53ReadShifted
16937      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
16938    }
16939    if (CPUID == 4) { // ExynosM3Model
16940      if (AArch64_MC::isExynosArithFast(*MI))
16941        return 1060; // M3WriteA1
16942      if (AArch64_MC::isExynosLogicFast(*MI))
16943        return 1060; // M3WriteA1
16944      return 1061; // M3WriteAA
16945    }
16946    if (CPUID == 5) { // ExynosM4Model
16947      if (AArch64_MC::isExynosArithFast(*MI))
16948        return 1062; // M4WriteA1
16949      if (AArch64_MC::isExynosLogicExFast(*MI))
16950        return 1062; // M4WriteA1
16951      return 1063; // M4WriteAA
16952    }
16953    if (CPUID == 6) { // ExynosM5Model
16954      if (AArch64_MC::isExynosArithFast(*MI))
16955        return 1064; // M5WriteA1X
16956      if (AArch64_MC::isExynosLogicExFast(*MI))
16957        return 1064; // M5WriteA1X
16958      return 1065; // M5WriteAAX
16959    }
16960    if (CPUID == 7) { // FalkorModel
16961      return 1059; // FalkorWr_2XYZ_2cyc
16962    }
16963    if (CPUID == 9) { // ThunderXT8XModel
16964      if (AArch64_MC::hasExtendedReg(*MI))
16965        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
16966      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
16967    }
16968    break;
16969  case 583: // SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64
16970    if (CPUID == 2) { // CortexA53Model
16971      if (AArch64_MC::hasExtendedReg(*MI))
16972        return 1019; // WriteIEReg_ReadI_A53ReadShifted
16973      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
16974    }
16975    if (CPUID == 4) { // ExynosM3Model
16976      if (AArch64_MC::isExynosArithFast(*MI))
16977        return 1060; // M3WriteA1
16978      if (AArch64_MC::isExynosLogicFast(*MI))
16979        return 1060; // M3WriteA1
16980      return 1061; // M3WriteAA
16981    }
16982    if (CPUID == 5) { // ExynosM4Model
16983      if (AArch64_MC::isExynosArithFast(*MI))
16984        return 1062; // M4WriteA1
16985      if (AArch64_MC::isExynosLogicExFast(*MI))
16986        return 1062; // M4WriteA1
16987      return 1063; // M4WriteAA
16988    }
16989    if (CPUID == 6) { // ExynosM5Model
16990      if (AArch64_MC::isExynosArithFast(*MI))
16991        return 1064; // M5WriteA1X
16992      if (AArch64_MC::isExynosLogicExFast(*MI))
16993        return 1064; // M5WriteA1X
16994      return 1065; // M5WriteAAX
16995    }
16996    if (CPUID == 7) { // FalkorModel
16997      return 1059; // FalkorWr_2XYZ_2cyc
16998    }
16999    if (CPUID == 9) { // ThunderXT8XModel
17000      if (AArch64_MC::hasExtendedReg(*MI))
17001        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
17002      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
17003    }
17004    break;
17005  case 591: // MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16
17006    if (CPUID == 4) { // ExynosM3Model
17007      if (AArch64_MC::isZeroFPIdiom(*MI))
17008        return 1046; // M3WriteZ0
17009      return 1045; // M3WriteNALU1
17010    }
17011    if (CPUID == 5) { // ExynosM4Model
17012      if (AArch64_MC::isZeroFPIdiom(*MI))
17013        return 1048; // M4WriteZ0
17014      return 1047; // M4WriteNALU1
17015    }
17016    if (CPUID == 6) { // ExynosM5Model
17017      if (AArch64_MC::isZeroFPIdiom(*MI))
17018        return 1050; // M5WriteZ0
17019      return 1105; // M5WriteNALU1
17020    }
17021    break;
17022  case 601: // MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16
17023    if (CPUID == 4) { // ExynosM3Model
17024      if (AArch64_MC::isZeroFPIdiom(*MI))
17025        return 1046; // M3WriteZ0
17026      return 1045; // M3WriteNALU1
17027    }
17028    if (CPUID == 5) { // ExynosM4Model
17029      if (AArch64_MC::isZeroFPIdiom(*MI))
17030        return 1048; // M4WriteZ0
17031      return 1047; // M4WriteNALU1
17032    }
17033    if (CPUID == 6) { // ExynosM5Model
17034      if (AArch64_MC::isZeroFPIdiom(*MI))
17035        return 1050; // M5WriteZ0
17036      return 1105; // M5WriteNALU1
17037    }
17038    break;
17039  case 614: // STRDroW_STRDroX_STRSroW_STRSroX
17040    if (CPUID == 1) { // CycloneModel
17041      if (AArch64_MC::isScaledAddr(*MI))
17042        return 1037; // (WriteIS_WriteST)_ReadBaseRS
17043      return 1038; // WriteST_ReadDefault
17044    }
17045    if (CPUID == 4) { // ExynosM3Model
17046      if (AArch64_MC::isExynosScaledAddr(*MI))
17047        return 1093; // WriteVST_ReadDefault
17048      return 1093; // WriteVST_ReadDefault
17049    }
17050    if (CPUID == 5) { // ExynosM4Model
17051      if ((
17052            AArch64_MC::isScaledAddr(*MI)
17053            || AArch64_MC::isExynosScaledAddr(*MI)
17054          ))
17055        return 1093; // WriteVST_ReadDefault
17056      return 1093; // WriteVST_ReadDefault
17057      if (AArch64_MC::isExynosScaledAddr(*MI)
17058          && (
17059            AArch64_MC::isScaledAddr(*MI)
17060            || AArch64_MC::isExynosScaledAddr(*MI)
17061          ))
17062        return 1039; // M4WriteSB_ReadDefault
17063      if ((
17064            AArch64_MC::isScaledAddr(*MI)
17065            || AArch64_MC::isExynosScaledAddr(*MI)
17066          ))
17067        return 1040; // M4WriteS1_ReadDefault
17068      return 1040; // M4WriteS1_ReadDefault
17069    }
17070    if (CPUID == 6) { // ExynosM5Model
17071      if ((
17072            AArch64_MC::isScaledAddr(*MI)
17073            || AArch64_MC::isExynosScaledAddr(*MI)
17074          ))
17075        return 1093; // WriteVST_ReadDefault
17076      return 1093; // WriteVST_ReadDefault
17077      if (AArch64_MC::isExynosScaledAddr(*MI)
17078          && (
17079            AArch64_MC::isScaledAddr(*MI)
17080            || AArch64_MC::isExynosScaledAddr(*MI)
17081          ))
17082        return 1041; // M5WriteSB_ReadDefault
17083      if ((
17084            AArch64_MC::isScaledAddr(*MI)
17085            || AArch64_MC::isExynosScaledAddr(*MI)
17086          ))
17087        return 1042; // M5WriteS1_ReadDefault
17088      return 1042; // M5WriteS1_ReadDefault
17089    }
17090    if (CPUID == 7) { // FalkorModel
17091      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
17092    }
17093    if (CPUID == 10) { // ThunderX2T99Model
17094      if (AArch64_MC::isScaledAddr(*MI))
17095        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
17096      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
17097      if (AArch64_MC::isScaledAddr(*MI))
17098        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
17099      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
17100    }
17101    break;
17102  case 635: // FMOVWSr_FMOVXDHighr_FMOVXDr
17103    if (CPUID == 7) { // FalkorModel
17104      return 1106; // FalkorWr_1GTOV_1cyc
17105    }
17106    break;
17107  case 649: // LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX
17108    if (CPUID == 1) { // CycloneModel
17109      if (AArch64_MC::isScaledAddr(*MI))
17110        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
17111      return 1030; // WriteLD_ReadDefault
17112    }
17113    if (CPUID == 4) { // ExynosM3Model
17114      if (AArch64_MC::isExynosScaledAddr(*MI))
17115        return 1082; // M3WriteL5_ReadDefault
17116      return 1083; // M3WriteL4_ReadDefault
17117    }
17118    if (CPUID == 5) { // ExynosM4Model
17119      if (AArch64_MC::isExynosScaledAddr(*MI)
17120          && (
17121            AArch64_MC::isScaledAddr(*MI)
17122            || AArch64_MC::isExynosScaledAddr(*MI)
17123          ))
17124        return 1031; // M4WriteL5_ReadDefault
17125      if ((
17126            AArch64_MC::isScaledAddr(*MI)
17127            || AArch64_MC::isExynosScaledAddr(*MI)
17128          ))
17129        return 1032; // M4WriteL4_ReadDefault
17130      return 1032; // M4WriteL4_ReadDefault
17131      if (AArch64_MC::isExynosScaledAddr(*MI)
17132          && (
17133            AArch64_MC::isScaledAddr(*MI)
17134            || AArch64_MC::isExynosScaledAddr(*MI)
17135          ))
17136        return 1031; // M4WriteL5_ReadDefault
17137      if ((
17138            AArch64_MC::isScaledAddr(*MI)
17139            || AArch64_MC::isExynosScaledAddr(*MI)
17140          ))
17141        return 1032; // M4WriteL4_ReadDefault
17142      return 1032; // M4WriteL4_ReadDefault
17143    }
17144    if (CPUID == 6) { // ExynosM5Model
17145      if (AArch64_MC::isExynosScaledAddr(*MI)
17146          && (
17147            AArch64_MC::isScaledAddr(*MI)
17148            || AArch64_MC::isExynosScaledAddr(*MI)
17149          ))
17150        return 1033; // M5WriteL5_ReadDefault
17151      if ((
17152            AArch64_MC::isScaledAddr(*MI)
17153            || AArch64_MC::isExynosScaledAddr(*MI)
17154          ))
17155        return 1034; // M5WriteL4_ReadDefault
17156      return 1034; // M5WriteL4_ReadDefault
17157      if (AArch64_MC::isExynosScaledAddr(*MI)
17158          && (
17159            AArch64_MC::isScaledAddr(*MI)
17160            || AArch64_MC::isExynosScaledAddr(*MI)
17161          ))
17162        return 1033; // M5WriteL5_ReadDefault
17163      if ((
17164            AArch64_MC::isScaledAddr(*MI)
17165            || AArch64_MC::isExynosScaledAddr(*MI)
17166          ))
17167        return 1034; // M5WriteL4_ReadDefault
17168      return 1034; // M5WriteL4_ReadDefault
17169    }
17170    if (CPUID == 7) { // FalkorModel
17171      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
17172    }
17173    if (CPUID == 10) { // ThunderX2T99Model
17174      if (AArch64_MC::isScaledAddr(*MI))
17175        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
17176      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
17177    }
17178    break;
17179  case 653: // PRFMroW_PRFMroX
17180    if (CPUID == 4) { // ExynosM3Model
17181      if (AArch64_MC::isExynosScaledAddr(*MI))
17182        return 1082; // M3WriteL5_ReadDefault
17183      return 1083; // M3WriteL4_ReadDefault
17184    }
17185    if (CPUID == 5) { // ExynosM4Model
17186      if (AArch64_MC::isExynosScaledAddr(*MI)
17187          && (
17188            AArch64_MC::isScaledAddr(*MI)
17189            || AArch64_MC::isExynosScaledAddr(*MI)
17190          ))
17191        return 1031; // M4WriteL5_ReadDefault
17192      if ((
17193            AArch64_MC::isScaledAddr(*MI)
17194            || AArch64_MC::isExynosScaledAddr(*MI)
17195          ))
17196        return 1032; // M4WriteL4_ReadDefault
17197      return 1032; // M4WriteL4_ReadDefault
17198    }
17199    if (CPUID == 6) { // ExynosM5Model
17200      if (AArch64_MC::isExynosScaledAddr(*MI)
17201          && (
17202            AArch64_MC::isScaledAddr(*MI)
17203            || AArch64_MC::isExynosScaledAddr(*MI)
17204          ))
17205        return 1033; // M5WriteL5_ReadDefault
17206      if ((
17207            AArch64_MC::isScaledAddr(*MI)
17208            || AArch64_MC::isExynosScaledAddr(*MI)
17209          ))
17210        return 1034; // M5WriteL4_ReadDefault
17211      return 1034; // M5WriteL4_ReadDefault
17212    }
17213    if (CPUID == 7) { // FalkorModel
17214      return 1108; // FalkorWr_1XYZ_1ST_4cyc
17215    }
17216    break;
17217  case 656: // LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX
17218    if (CPUID == 1) { // CycloneModel
17219      if (AArch64_MC::isScaledAddr(*MI))
17220        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
17221      return 1030; // WriteLD_ReadDefault
17222    }
17223    if (CPUID == 4) { // ExynosM3Model
17224      if (AArch64_MC::isExynosScaledAddr(*MI))
17225        return 1082; // M3WriteL5_ReadDefault
17226      return 1083; // M3WriteL4_ReadDefault
17227    }
17228    if (CPUID == 5) { // ExynosM4Model
17229      if (AArch64_MC::isExynosScaledAddr(*MI)
17230          && (
17231            AArch64_MC::isScaledAddr(*MI)
17232            || AArch64_MC::isExynosScaledAddr(*MI)
17233          ))
17234        return 1031; // M4WriteL5_ReadDefault
17235      if ((
17236            AArch64_MC::isScaledAddr(*MI)
17237            || AArch64_MC::isExynosScaledAddr(*MI)
17238          ))
17239        return 1032; // M4WriteL4_ReadDefault
17240      return 1032; // M4WriteL4_ReadDefault
17241      if (AArch64_MC::isExynosScaledAddr(*MI)
17242          && (
17243            AArch64_MC::isScaledAddr(*MI)
17244            || AArch64_MC::isExynosScaledAddr(*MI)
17245          ))
17246        return 1031; // M4WriteL5_ReadDefault
17247      if ((
17248            AArch64_MC::isScaledAddr(*MI)
17249            || AArch64_MC::isExynosScaledAddr(*MI)
17250          ))
17251        return 1032; // M4WriteL4_ReadDefault
17252      return 1032; // M4WriteL4_ReadDefault
17253    }
17254    if (CPUID == 6) { // ExynosM5Model
17255      if (AArch64_MC::isExynosScaledAddr(*MI)
17256          && (
17257            AArch64_MC::isScaledAddr(*MI)
17258            || AArch64_MC::isExynosScaledAddr(*MI)
17259          ))
17260        return 1033; // M5WriteL5_ReadDefault
17261      if ((
17262            AArch64_MC::isScaledAddr(*MI)
17263            || AArch64_MC::isExynosScaledAddr(*MI)
17264          ))
17265        return 1034; // M5WriteL4_ReadDefault
17266      return 1034; // M5WriteL4_ReadDefault
17267      if (AArch64_MC::isExynosScaledAddr(*MI)
17268          && (
17269            AArch64_MC::isScaledAddr(*MI)
17270            || AArch64_MC::isExynosScaledAddr(*MI)
17271          ))
17272        return 1033; // M5WriteL5_ReadDefault
17273      if ((
17274            AArch64_MC::isScaledAddr(*MI)
17275            || AArch64_MC::isExynosScaledAddr(*MI)
17276          ))
17277        return 1034; // M5WriteL4_ReadDefault
17278      return 1034; // M5WriteL4_ReadDefault
17279    }
17280    if (CPUID == 7) { // FalkorModel
17281      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
17282    }
17283    if (CPUID == 10) { // ThunderX2T99Model
17284      if (AArch64_MC::isScaledAddr(*MI))
17285        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
17286      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
17287    }
17288    break;
17289  case 694: // STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX
17290    if (CPUID == 1) { // CycloneModel
17291      if (AArch64_MC::isScaledAddr(*MI))
17292        return 1037; // (WriteIS_WriteST)_ReadBaseRS
17293      return 1038; // WriteST_ReadDefault
17294    }
17295    if (CPUID == 4) { // ExynosM3Model
17296      if (AArch64_MC::isExynosScaledAddr(*MI))
17297        return 1038; // WriteST_ReadDefault
17298      return 1038; // WriteST_ReadDefault
17299    }
17300    if (CPUID == 5) { // ExynosM4Model
17301      if ((
17302            AArch64_MC::isScaledAddr(*MI)
17303            || AArch64_MC::isExynosScaledAddr(*MI)
17304          ))
17305        return 1038; // WriteST_ReadDefault
17306      return 1038; // WriteST_ReadDefault
17307      if (AArch64_MC::isExynosScaledAddr(*MI)
17308          && (
17309            AArch64_MC::isScaledAddr(*MI)
17310            || AArch64_MC::isExynosScaledAddr(*MI)
17311          ))
17312        return 1039; // M4WriteSB_ReadDefault
17313      if ((
17314            AArch64_MC::isScaledAddr(*MI)
17315            || AArch64_MC::isExynosScaledAddr(*MI)
17316          ))
17317        return 1040; // M4WriteS1_ReadDefault
17318      return 1040; // M4WriteS1_ReadDefault
17319    }
17320    if (CPUID == 6) { // ExynosM5Model
17321      if ((
17322            AArch64_MC::isScaledAddr(*MI)
17323            || AArch64_MC::isExynosScaledAddr(*MI)
17324          ))
17325        return 1038; // WriteST_ReadDefault
17326      return 1038; // WriteST_ReadDefault
17327      if (AArch64_MC::isExynosScaledAddr(*MI)
17328          && (
17329            AArch64_MC::isScaledAddr(*MI)
17330            || AArch64_MC::isExynosScaledAddr(*MI)
17331          ))
17332        return 1041; // M5WriteSB_ReadDefault
17333      if ((
17334            AArch64_MC::isScaledAddr(*MI)
17335            || AArch64_MC::isExynosScaledAddr(*MI)
17336          ))
17337        return 1042; // M5WriteS1_ReadDefault
17338      return 1042; // M5WriteS1_ReadDefault
17339    }
17340    if (CPUID == 7) { // FalkorModel
17341      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
17342    }
17343    if (CPUID == 10) { // ThunderX2T99Model
17344      if (AArch64_MC::isScaledAddr(*MI))
17345        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
17346      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
17347      if (AArch64_MC::isScaledAddr(*MI))
17348        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
17349      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
17350    }
17351    break;
17352  case 713: // ANDSWrs_ANDWrs
17353    if (CPUID == 2) { // CortexA53Model
17354      if (AArch64_MC::hasShiftedReg(*MI))
17355        return 1015; // WriteISReg_ReadI_A53ReadShifted
17356      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17357    }
17358    if (CPUID == 3) { // CortexA57Model
17359      if (AArch64_MC::hasShiftedReg(*MI))
17360        return 1057; // WriteISReg
17361      return 1044; // WriteI
17362    }
17363    if (CPUID == 4) { // ExynosM3Model
17364      if (AArch64_MC::isExynosArithFast(*MI))
17365        return 1060; // M3WriteA1
17366      if (AArch64_MC::isExynosLogicFast(*MI))
17367        return 1060; // M3WriteA1
17368      return 1061; // M3WriteAA
17369    }
17370    if (CPUID == 5) { // ExynosM4Model
17371      if (AArch64_MC::isExynosArithFast(*MI))
17372        return 1062; // M4WriteA1
17373      if (AArch64_MC::isExynosLogicExFast(*MI))
17374        return 1062; // M4WriteA1
17375      return 1063; // M4WriteAA
17376    }
17377    if (CPUID == 6) { // ExynosM5Model
17378      if (AArch64_MC::isExynosArithFast(*MI))
17379        return 1066; // M5WriteA1W
17380      if (AArch64_MC::isExynosLogicExFast(*MI))
17381        return 1066; // M5WriteA1W
17382      return 1109; // M5WriteAAW
17383    }
17384    if (CPUID == 9) { // ThunderXT8XModel
17385      if (AArch64_MC::hasShiftedReg(*MI))
17386        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17387      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17388    }
17389    break;
17390  case 714: // ANDWri
17391    if (CPUID == 1) { // CycloneModel
17392      return 1100; // WriteImm
17393    }
17394    break;
17395  case 716: // BICSWrs_BICWrs
17396    if (CPUID == 2) { // CortexA53Model
17397      if (AArch64_MC::hasShiftedReg(*MI))
17398        return 1015; // WriteISReg_ReadI_A53ReadShifted
17399      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17400    }
17401    if (CPUID == 3) { // CortexA57Model
17402      if (AArch64_MC::hasShiftedReg(*MI))
17403        return 1057; // WriteISReg
17404      return 1044; // WriteI
17405    }
17406    if (CPUID == 4) { // ExynosM3Model
17407      if (AArch64_MC::isExynosArithFast(*MI))
17408        return 1060; // M3WriteA1
17409      if (AArch64_MC::isExynosLogicFast(*MI))
17410        return 1060; // M3WriteA1
17411      return 1061; // M3WriteAA
17412    }
17413    if (CPUID == 5) { // ExynosM4Model
17414      if (AArch64_MC::isExynosArithFast(*MI))
17415        return 1062; // M4WriteA1
17416      if (AArch64_MC::isExynosLogicExFast(*MI))
17417        return 1062; // M4WriteA1
17418      return 1063; // M4WriteAA
17419    }
17420    if (CPUID == 6) { // ExynosM5Model
17421      if (AArch64_MC::isExynosArithFast(*MI))
17422        return 1066; // M5WriteA1W
17423      if (AArch64_MC::isExynosLogicExFast(*MI))
17424        return 1066; // M5WriteA1W
17425      return 1109; // M5WriteAAW
17426    }
17427    if (CPUID == 9) { // ThunderXT8XModel
17428      if (AArch64_MC::hasShiftedReg(*MI))
17429        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17430      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17431    }
17432    break;
17433  case 718: // EONWrs
17434    if (CPUID == 2) { // CortexA53Model
17435      if (AArch64_MC::hasShiftedReg(*MI))
17436        return 1015; // WriteISReg_ReadI_A53ReadShifted
17437      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17438    }
17439    if (CPUID == 3) { // CortexA57Model
17440      if (AArch64_MC::hasShiftedReg(*MI))
17441        return 1057; // WriteISReg
17442      return 1044; // WriteI
17443    }
17444    if (CPUID == 4) { // ExynosM3Model
17445      if (AArch64_MC::isExynosArithFast(*MI))
17446        return 1060; // M3WriteA1
17447      if (AArch64_MC::isExynosLogicFast(*MI))
17448        return 1060; // M3WriteA1
17449      return 1061; // M3WriteAA
17450    }
17451    if (CPUID == 5) { // ExynosM4Model
17452      if (AArch64_MC::isExynosArithFast(*MI))
17453        return 1062; // M4WriteA1
17454      if (AArch64_MC::isExynosLogicExFast(*MI))
17455        return 1062; // M4WriteA1
17456      return 1063; // M4WriteAA
17457    }
17458    if (CPUID == 6) { // ExynosM5Model
17459      if (AArch64_MC::isExynosArithFast(*MI))
17460        return 1066; // M5WriteA1W
17461      if (AArch64_MC::isExynosLogicExFast(*MI))
17462        return 1066; // M5WriteA1W
17463      return 1109; // M5WriteAAW
17464    }
17465    if (CPUID == 9) { // ThunderXT8XModel
17466      if (AArch64_MC::hasShiftedReg(*MI))
17467        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17468      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17469    }
17470    break;
17471  case 721: // EORWrs
17472    if (CPUID == 2) { // CortexA53Model
17473      if (AArch64_MC::hasShiftedReg(*MI))
17474        return 1015; // WriteISReg_ReadI_A53ReadShifted
17475      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17476    }
17477    if (CPUID == 3) { // CortexA57Model
17478      if (AArch64_MC::hasShiftedReg(*MI))
17479        return 1057; // WriteISReg
17480      return 1044; // WriteI
17481    }
17482    if (CPUID == 4) { // ExynosM3Model
17483      if (AArch64_MC::isExynosArithFast(*MI))
17484        return 1060; // M3WriteA1
17485      if (AArch64_MC::isExynosLogicFast(*MI))
17486        return 1060; // M3WriteA1
17487      return 1061; // M3WriteAA
17488    }
17489    if (CPUID == 5) { // ExynosM4Model
17490      if (AArch64_MC::isExynosArithFast(*MI))
17491        return 1062; // M4WriteA1
17492      if (AArch64_MC::isExynosLogicExFast(*MI))
17493        return 1062; // M4WriteA1
17494      return 1063; // M4WriteAA
17495    }
17496    if (CPUID == 6) { // ExynosM5Model
17497      if (AArch64_MC::isExynosArithFast(*MI))
17498        return 1066; // M5WriteA1W
17499      if (AArch64_MC::isExynosLogicExFast(*MI))
17500        return 1066; // M5WriteA1W
17501      return 1109; // M5WriteAAW
17502    }
17503    if (CPUID == 9) { // ThunderXT8XModel
17504      if (AArch64_MC::hasShiftedReg(*MI))
17505        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17506      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17507    }
17508    break;
17509  case 723: // ORNWrs
17510    if (CPUID == 2) { // CortexA53Model
17511      if (AArch64_MC::hasShiftedReg(*MI))
17512        return 1015; // WriteISReg_ReadI_A53ReadShifted
17513      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17514    }
17515    if (CPUID == 3) { // CortexA57Model
17516      if (AArch64_MC::hasShiftedReg(*MI))
17517        return 1057; // WriteISReg
17518      return 1044; // WriteI
17519    }
17520    if (CPUID == 4) { // ExynosM3Model
17521      if (AArch64_MC::isExynosArithFast(*MI))
17522        return 1060; // M3WriteA1
17523      if (AArch64_MC::isExynosLogicFast(*MI))
17524        return 1060; // M3WriteA1
17525      return 1061; // M3WriteAA
17526    }
17527    if (CPUID == 5) { // ExynosM4Model
17528      if (AArch64_MC::isExynosArithFast(*MI))
17529        return 1062; // M4WriteA1
17530      if (AArch64_MC::isExynosLogicExFast(*MI))
17531        return 1062; // M4WriteA1
17532      return 1063; // M4WriteAA
17533    }
17534    if (CPUID == 6) { // ExynosM5Model
17535      if (AArch64_MC::isExynosArithFast(*MI))
17536        return 1066; // M5WriteA1W
17537      if (AArch64_MC::isExynosLogicExFast(*MI))
17538        return 1066; // M5WriteA1W
17539      return 1109; // M5WriteAAW
17540    }
17541    if (CPUID == 9) { // ThunderXT8XModel
17542      if (AArch64_MC::hasShiftedReg(*MI))
17543        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17544      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17545    }
17546    break;
17547  case 724: // ORRWrs
17548    if (CPUID == 2) { // CortexA53Model
17549      if (AArch64_MC::hasShiftedReg(*MI))
17550        return 1015; // WriteISReg_ReadI_A53ReadShifted
17551      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17552    }
17553    if (CPUID == 3) { // CortexA57Model
17554      if (AArch64_MC::hasShiftedReg(*MI))
17555        return 1057; // WriteISReg
17556      return 1044; // WriteI
17557    }
17558    if (CPUID == 4) { // ExynosM3Model
17559      if (AArch64_MC::isCopyIdiom(*MI))
17560        return 1046; // M3WriteZ0
17561      if (AArch64_MC::isExynosArithFast(*MI))
17562        return 1060; // M3WriteA1
17563      if (AArch64_MC::isExynosLogicFast(*MI))
17564        return 1060; // M3WriteA1
17565      return 1061; // M3WriteAA
17566    }
17567    if (CPUID == 5) { // ExynosM4Model
17568      if (AArch64_MC::isCopyIdiom(*MI))
17569        return 1048; // M4WriteZ0
17570      if (AArch64_MC::isExynosArithFast(*MI))
17571        return 1062; // M4WriteA1
17572      if (AArch64_MC::isExynosLogicExFast(*MI))
17573        return 1062; // M4WriteA1
17574      return 1063; // M4WriteAA
17575    }
17576    if (CPUID == 6) { // ExynosM5Model
17577      if (AArch64_MC::isCopyIdiom(*MI))
17578        return 1050; // M5WriteZ0
17579      if (AArch64_MC::isExynosArithFast(*MI))
17580        return 1066; // M5WriteA1W
17581      if (AArch64_MC::isExynosLogicExFast(*MI))
17582        return 1066; // M5WriteA1W
17583      return 1109; // M5WriteAAW
17584    }
17585    if (CPUID == 9) { // ThunderXT8XModel
17586      if (AArch64_MC::hasShiftedReg(*MI))
17587        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17588      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17589    }
17590    break;
17591  case 725: // ORRWri
17592    if (CPUID == 4) { // ExynosM3Model
17593      if (AArch64_MC::isZeroIdiom(*MI))
17594        return 1046; // M3WriteZ0
17595      if (AArch64_MC::isExynosLogicFast(*MI))
17596        return 1060; // M3WriteA1
17597      return 1061; // M3WriteAA
17598    }
17599    if (CPUID == 5) { // ExynosM4Model
17600      if (AArch64_MC::isExynosResetFast(*MI))
17601        return 1048; // M4WriteZ0
17602      if (AArch64_MC::isExynosArithFast(*MI))
17603        return 1062; // M4WriteA1
17604      if (AArch64_MC::isExynosLogicExFast(*MI))
17605        return 1062; // M4WriteA1
17606      return 1063; // M4WriteAA
17607    }
17608    if (CPUID == 6) { // ExynosM5Model
17609      if (AArch64_MC::isExynosResetFast(*MI))
17610        return 1050; // M5WriteZ0
17611      if (AArch64_MC::isExynosArithFast(*MI))
17612        return 1066; // M5WriteA1W
17613      if (AArch64_MC::isExynosLogicExFast(*MI))
17614        return 1066; // M5WriteA1W
17615      return 1109; // M5WriteAAW
17616    }
17617    if (CPUID == 7) { // FalkorModel
17618      return 1058; // FalkorWr_1XYZ_1cyc
17619    }
17620    break;
17621  case 744: // FMOVXDHighr
17622    if (CPUID == 7) { // FalkorModel
17623      return 1106; // FalkorWr_1GTOV_1cyc
17624    }
17625    break;
17626  case 763: // ADDWrs_ADDXrs
17627    if (CPUID == 2) { // CortexA53Model
17628      if (AArch64_MC::hasShiftedReg(*MI))
17629        return 1015; // WriteISReg_ReadI_A53ReadShifted
17630      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17631    }
17632    if (CPUID == 3) { // CortexA57Model
17633      if (AArch64_MC::hasShiftedReg(*MI))
17634        return 1057; // WriteISReg
17635      return 1044; // WriteI
17636    }
17637    if (CPUID == 4) { // ExynosM3Model
17638      if (AArch64_MC::isExynosArithFast(*MI))
17639        return 1060; // M3WriteA1
17640      if (AArch64_MC::isExynosLogicFast(*MI))
17641        return 1060; // M3WriteA1
17642      return 1061; // M3WriteAA
17643    }
17644    if (CPUID == 5) { // ExynosM4Model
17645      if (AArch64_MC::isExynosArithFast(*MI))
17646        return 1062; // M4WriteA1
17647      if (AArch64_MC::isExynosLogicExFast(*MI))
17648        return 1062; // M4WriteA1
17649      return 1063; // M4WriteAA
17650    }
17651    if (CPUID == 6) { // ExynosM5Model
17652      if (AArch64_MC::isExynosArithFast(*MI))
17653        return 1064; // M5WriteA1X
17654      if (AArch64_MC::isExynosLogicExFast(*MI))
17655        return 1064; // M5WriteA1X
17656      return 1065; // M5WriteAAX
17657    }
17658    if (CPUID == 7) { // FalkorModel
17659      return 1059; // FalkorWr_2XYZ_2cyc
17660    }
17661    if (CPUID == 9) { // ThunderXT8XModel
17662      if (AArch64_MC::hasShiftedReg(*MI))
17663        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17664      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17665    }
17666    break;
17667  case 764: // ANDWrs
17668    if (CPUID == 2) { // CortexA53Model
17669      if (AArch64_MC::hasShiftedReg(*MI))
17670        return 1015; // WriteISReg_ReadI_A53ReadShifted
17671      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17672    }
17673    if (CPUID == 3) { // CortexA57Model
17674      if (AArch64_MC::hasShiftedReg(*MI))
17675        return 1057; // WriteISReg
17676      return 1044; // WriteI
17677    }
17678    if (CPUID == 4) { // ExynosM3Model
17679      if (AArch64_MC::isExynosArithFast(*MI))
17680        return 1060; // M3WriteA1
17681      if (AArch64_MC::isExynosLogicFast(*MI))
17682        return 1060; // M3WriteA1
17683      return 1061; // M3WriteAA
17684    }
17685    if (CPUID == 5) { // ExynosM4Model
17686      if (AArch64_MC::isExynosArithFast(*MI))
17687        return 1062; // M4WriteA1
17688      if (AArch64_MC::isExynosLogicExFast(*MI))
17689        return 1062; // M4WriteA1
17690      return 1063; // M4WriteAA
17691    }
17692    if (CPUID == 6) { // ExynosM5Model
17693      if (AArch64_MC::isExynosArithFast(*MI))
17694        return 1066; // M5WriteA1W
17695      if (AArch64_MC::isExynosLogicExFast(*MI))
17696        return 1066; // M5WriteA1W
17697      return 1109; // M5WriteAAW
17698    }
17699    if (CPUID == 9) { // ThunderXT8XModel
17700      if (AArch64_MC::hasShiftedReg(*MI))
17701        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17702      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17703    }
17704    break;
17705  case 765: // ANDXrs
17706    if (CPUID == 2) { // CortexA53Model
17707      if (AArch64_MC::hasShiftedReg(*MI))
17708        return 1015; // WriteISReg_ReadI_A53ReadShifted
17709      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17710    }
17711    if (CPUID == 3) { // CortexA57Model
17712      if (AArch64_MC::hasShiftedReg(*MI))
17713        return 1057; // WriteISReg
17714      return 1044; // WriteI
17715    }
17716    if (CPUID == 4) { // ExynosM3Model
17717      if (AArch64_MC::isExynosArithFast(*MI))
17718        return 1060; // M3WriteA1
17719      if (AArch64_MC::isExynosLogicFast(*MI))
17720        return 1060; // M3WriteA1
17721      return 1061; // M3WriteAA
17722    }
17723    if (CPUID == 5) { // ExynosM4Model
17724      if (AArch64_MC::isExynosArithFast(*MI))
17725        return 1062; // M4WriteA1
17726      if (AArch64_MC::isExynosLogicExFast(*MI))
17727        return 1062; // M4WriteA1
17728      return 1063; // M4WriteAA
17729    }
17730    if (CPUID == 6) { // ExynosM5Model
17731      if (AArch64_MC::isExynosArithFast(*MI))
17732        return 1064; // M5WriteA1X
17733      if (AArch64_MC::isExynosLogicExFast(*MI))
17734        return 1064; // M5WriteA1X
17735      return 1065; // M5WriteAAX
17736    }
17737    if (CPUID == 9) { // ThunderXT8XModel
17738      if (AArch64_MC::hasShiftedReg(*MI))
17739        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17740      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17741    }
17742    break;
17743  case 766: // BICWrs
17744    if (CPUID == 2) { // CortexA53Model
17745      if (AArch64_MC::hasShiftedReg(*MI))
17746        return 1015; // WriteISReg_ReadI_A53ReadShifted
17747      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17748    }
17749    if (CPUID == 3) { // CortexA57Model
17750      if (AArch64_MC::hasShiftedReg(*MI))
17751        return 1057; // WriteISReg
17752      return 1044; // WriteI
17753    }
17754    if (CPUID == 4) { // ExynosM3Model
17755      if (AArch64_MC::isExynosArithFast(*MI))
17756        return 1060; // M3WriteA1
17757      if (AArch64_MC::isExynosLogicFast(*MI))
17758        return 1060; // M3WriteA1
17759      return 1061; // M3WriteAA
17760    }
17761    if (CPUID == 5) { // ExynosM4Model
17762      if (AArch64_MC::isExynosArithFast(*MI))
17763        return 1062; // M4WriteA1
17764      if (AArch64_MC::isExynosLogicExFast(*MI))
17765        return 1062; // M4WriteA1
17766      return 1063; // M4WriteAA
17767    }
17768    if (CPUID == 6) { // ExynosM5Model
17769      if (AArch64_MC::isExynosArithFast(*MI))
17770        return 1066; // M5WriteA1W
17771      if (AArch64_MC::isExynosLogicExFast(*MI))
17772        return 1066; // M5WriteA1W
17773      return 1109; // M5WriteAAW
17774    }
17775    if (CPUID == 9) { // ThunderXT8XModel
17776      if (AArch64_MC::hasShiftedReg(*MI))
17777        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17778      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17779    }
17780    break;
17781  case 767: // BICXrs
17782    if (CPUID == 2) { // CortexA53Model
17783      if (AArch64_MC::hasShiftedReg(*MI))
17784        return 1015; // WriteISReg_ReadI_A53ReadShifted
17785      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17786    }
17787    if (CPUID == 3) { // CortexA57Model
17788      if (AArch64_MC::hasShiftedReg(*MI))
17789        return 1057; // WriteISReg
17790      return 1044; // WriteI
17791    }
17792    if (CPUID == 4) { // ExynosM3Model
17793      if (AArch64_MC::isExynosArithFast(*MI))
17794        return 1060; // M3WriteA1
17795      if (AArch64_MC::isExynosLogicFast(*MI))
17796        return 1060; // M3WriteA1
17797      return 1061; // M3WriteAA
17798    }
17799    if (CPUID == 5) { // ExynosM4Model
17800      if (AArch64_MC::isExynosArithFast(*MI))
17801        return 1062; // M4WriteA1
17802      if (AArch64_MC::isExynosLogicExFast(*MI))
17803        return 1062; // M4WriteA1
17804      return 1063; // M4WriteAA
17805    }
17806    if (CPUID == 6) { // ExynosM5Model
17807      if (AArch64_MC::isExynosArithFast(*MI))
17808        return 1064; // M5WriteA1X
17809      if (AArch64_MC::isExynosLogicExFast(*MI))
17810        return 1064; // M5WriteA1X
17811      return 1065; // M5WriteAAX
17812    }
17813    if (CPUID == 9) { // ThunderXT8XModel
17814      if (AArch64_MC::hasShiftedReg(*MI))
17815        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17816      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17817    }
17818    break;
17819  case 768: // SUBWrs_SUBXrs
17820    if (CPUID == 2) { // CortexA53Model
17821      if (AArch64_MC::hasShiftedReg(*MI))
17822        return 1015; // WriteISReg_ReadI_A53ReadShifted
17823      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
17824    }
17825    if (CPUID == 3) { // CortexA57Model
17826      if (AArch64_MC::hasShiftedReg(*MI))
17827        return 1057; // WriteISReg
17828      return 1044; // WriteI
17829    }
17830    if (CPUID == 4) { // ExynosM3Model
17831      if (AArch64_MC::isExynosArithFast(*MI))
17832        return 1060; // M3WriteA1
17833      if (AArch64_MC::isExynosLogicFast(*MI))
17834        return 1060; // M3WriteA1
17835      return 1061; // M3WriteAA
17836    }
17837    if (CPUID == 5) { // ExynosM4Model
17838      if (AArch64_MC::isExynosArithFast(*MI))
17839        return 1062; // M4WriteA1
17840      if (AArch64_MC::isExynosLogicExFast(*MI))
17841        return 1062; // M4WriteA1
17842      return 1063; // M4WriteAA
17843    }
17844    if (CPUID == 6) { // ExynosM5Model
17845      if (AArch64_MC::isExynosArithFast(*MI))
17846        return 1064; // M5WriteA1X
17847      if (AArch64_MC::isExynosLogicExFast(*MI))
17848        return 1064; // M5WriteA1X
17849      return 1065; // M5WriteAAX
17850    }
17851    if (CPUID == 7) { // FalkorModel
17852      return 1059; // FalkorWr_2XYZ_2cyc
17853    }
17854    if (CPUID == 9) { // ThunderXT8XModel
17855      if (AArch64_MC::hasShiftedReg(*MI))
17856        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
17857      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
17858    }
17859    break;
17860  case 769: // ADDWri_ADDXri
17861    if (CPUID == 4) { // ExynosM3Model
17862      if (AArch64_MC::isCopyIdiom(*MI))
17863        return 1046; // M3WriteZ0
17864      if (AArch64_MC::isExynosArithFast(*MI))
17865        return 1060; // M3WriteA1
17866      return 1061; // M3WriteAA
17867    }
17868    if (CPUID == 5) { // ExynosM4Model
17869      if (AArch64_MC::isExynosResetFast(*MI))
17870        return 1048; // M4WriteZ0
17871      if (AArch64_MC::isExynosArithFast(*MI))
17872        return 1062; // M4WriteA1
17873      if (AArch64_MC::isExynosLogicExFast(*MI))
17874        return 1062; // M4WriteA1
17875      return 1063; // M4WriteAA
17876    }
17877    if (CPUID == 6) { // ExynosM5Model
17878      if (AArch64_MC::isExynosResetFast(*MI))
17879        return 1050; // M5WriteZ0
17880      if (AArch64_MC::isExynosArithFast(*MI))
17881        return 1064; // M5WriteA1X
17882      if (AArch64_MC::isExynosLogicExFast(*MI))
17883        return 1064; // M5WriteA1X
17884      return 1065; // M5WriteAAX
17885    }
17886    break;
17887  case 770: // LDRBBroW_LDRWroW_LDRXroW
17888    if (CPUID == 1) { // CycloneModel
17889      if (AArch64_MC::isScaledAddr(*MI))
17890        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
17891      return 1030; // WriteLD_ReadDefault
17892    }
17893    if (CPUID == 4) { // ExynosM3Model
17894      if (AArch64_MC::isExynosScaledAddr(*MI))
17895        return 1081; // M3WriteLB_ReadDefault
17896      return 1081; // M3WriteLB_ReadDefault
17897    }
17898    if (CPUID == 5) { // ExynosM4Model
17899      if ((
17900            AArch64_MC::isScaledAddr(*MI)
17901            || AArch64_MC::isExynosScaledAddr(*MI)
17902          ))
17903        return 1031; // M4WriteL5_ReadDefault
17904      return 1031; // M4WriteL5_ReadDefault
17905      if (AArch64_MC::isExynosScaledAddr(*MI)
17906          && (
17907            AArch64_MC::isScaledAddr(*MI)
17908            || AArch64_MC::isExynosScaledAddr(*MI)
17909          ))
17910        return 1031; // M4WriteL5_ReadDefault
17911      if ((
17912            AArch64_MC::isScaledAddr(*MI)
17913            || AArch64_MC::isExynosScaledAddr(*MI)
17914          ))
17915        return 1032; // M4WriteL4_ReadDefault
17916      return 1032; // M4WriteL4_ReadDefault
17917    }
17918    if (CPUID == 6) { // ExynosM5Model
17919      if ((
17920            AArch64_MC::isScaledAddr(*MI)
17921            || AArch64_MC::isExynosScaledAddr(*MI)
17922          ))
17923        return 1033; // M5WriteL5_ReadDefault
17924      return 1033; // M5WriteL5_ReadDefault
17925      if (AArch64_MC::isExynosScaledAddr(*MI)
17926          && (
17927            AArch64_MC::isScaledAddr(*MI)
17928            || AArch64_MC::isExynosScaledAddr(*MI)
17929          ))
17930        return 1033; // M5WriteL5_ReadDefault
17931      if ((
17932            AArch64_MC::isScaledAddr(*MI)
17933            || AArch64_MC::isExynosScaledAddr(*MI)
17934          ))
17935        return 1034; // M5WriteL4_ReadDefault
17936      return 1034; // M5WriteL4_ReadDefault
17937    }
17938    if (CPUID == 7) { // FalkorModel
17939      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
17940    }
17941    if (CPUID == 10) { // ThunderX2T99Model
17942      if (AArch64_MC::isScaledAddr(*MI))
17943        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
17944      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
17945    }
17946    break;
17947  case 771: // LDRSBWroW_LDRSBXroW_LDRSWroW
17948    if (CPUID == 1) { // CycloneModel
17949      if (AArch64_MC::isScaledAddr(*MI))
17950        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
17951      return 1030; // WriteLD_ReadDefault
17952    }
17953    if (CPUID == 4) { // ExynosM3Model
17954      if (AArch64_MC::isExynosScaledAddr(*MI))
17955        return 1081; // M3WriteLB_ReadDefault
17956      return 1081; // M3WriteLB_ReadDefault
17957    }
17958    if (CPUID == 5) { // ExynosM4Model
17959      if ((
17960            AArch64_MC::isScaledAddr(*MI)
17961            || AArch64_MC::isExynosScaledAddr(*MI)
17962          ))
17963        return 1031; // M4WriteL5_ReadDefault
17964      return 1031; // M4WriteL5_ReadDefault
17965      if (AArch64_MC::isExynosScaledAddr(*MI)
17966          && (
17967            AArch64_MC::isScaledAddr(*MI)
17968            || AArch64_MC::isExynosScaledAddr(*MI)
17969          ))
17970        return 1031; // M4WriteL5_ReadDefault
17971      if ((
17972            AArch64_MC::isScaledAddr(*MI)
17973            || AArch64_MC::isExynosScaledAddr(*MI)
17974          ))
17975        return 1032; // M4WriteL4_ReadDefault
17976      return 1032; // M4WriteL4_ReadDefault
17977    }
17978    if (CPUID == 6) { // ExynosM5Model
17979      if ((
17980            AArch64_MC::isScaledAddr(*MI)
17981            || AArch64_MC::isExynosScaledAddr(*MI)
17982          ))
17983        return 1033; // M5WriteL5_ReadDefault
17984      return 1033; // M5WriteL5_ReadDefault
17985      if (AArch64_MC::isExynosScaledAddr(*MI)
17986          && (
17987            AArch64_MC::isScaledAddr(*MI)
17988            || AArch64_MC::isExynosScaledAddr(*MI)
17989          ))
17990        return 1033; // M5WriteL5_ReadDefault
17991      if ((
17992            AArch64_MC::isScaledAddr(*MI)
17993            || AArch64_MC::isExynosScaledAddr(*MI)
17994          ))
17995        return 1034; // M5WriteL4_ReadDefault
17996      return 1034; // M5WriteL4_ReadDefault
17997    }
17998    if (CPUID == 7) { // FalkorModel
17999      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
18000    }
18001    if (CPUID == 10) { // ThunderX2T99Model
18002      if (AArch64_MC::isScaledAddr(*MI))
18003        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
18004      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
18005    }
18006    break;
18007  case 772: // PRFMroW
18008    if (CPUID == 4) { // ExynosM3Model
18009      if (AArch64_MC::isExynosScaledAddr(*MI))
18010        return 1081; // M3WriteLB_ReadDefault
18011      return 1081; // M3WriteLB_ReadDefault
18012    }
18013    if (CPUID == 5) { // ExynosM4Model
18014      if ((
18015            AArch64_MC::isScaledAddr(*MI)
18016            || AArch64_MC::isExynosScaledAddr(*MI)
18017          ))
18018        return 1031; // M4WriteL5_ReadDefault
18019      return 1031; // M4WriteL5_ReadDefault
18020    }
18021    if (CPUID == 6) { // ExynosM5Model
18022      if ((
18023            AArch64_MC::isScaledAddr(*MI)
18024            || AArch64_MC::isExynosScaledAddr(*MI)
18025          ))
18026        return 1033; // M5WriteL5_ReadDefault
18027      return 1033; // M5WriteL5_ReadDefault
18028    }
18029    if (CPUID == 7) { // FalkorModel
18030      return 1108; // FalkorWr_1XYZ_1ST_4cyc
18031    }
18032    break;
18033  case 773: // STRBBroW_STRWroW_STRXroW
18034    if (CPUID == 1) { // CycloneModel
18035      if (AArch64_MC::isScaledAddr(*MI))
18036        return 1037; // (WriteIS_WriteST)_ReadBaseRS
18037      return 1038; // WriteST_ReadDefault
18038    }
18039    if (CPUID == 4) { // ExynosM3Model
18040      if (AArch64_MC::isExynosScaledAddr(*MI))
18041        return 1096; // M3WriteSB_ReadDefault
18042      return 1096; // M3WriteSB_ReadDefault
18043    }
18044    if (CPUID == 5) { // ExynosM4Model
18045      if ((
18046            AArch64_MC::isScaledAddr(*MI)
18047            || AArch64_MC::isExynosScaledAddr(*MI)
18048          ))
18049        return 1039; // M4WriteSB_ReadDefault
18050      return 1039; // M4WriteSB_ReadDefault
18051      if (AArch64_MC::isExynosScaledAddr(*MI)
18052          && (
18053            AArch64_MC::isScaledAddr(*MI)
18054            || AArch64_MC::isExynosScaledAddr(*MI)
18055          ))
18056        return 1039; // M4WriteSB_ReadDefault
18057      if ((
18058            AArch64_MC::isScaledAddr(*MI)
18059            || AArch64_MC::isExynosScaledAddr(*MI)
18060          ))
18061        return 1040; // M4WriteS1_ReadDefault
18062      return 1040; // M4WriteS1_ReadDefault
18063    }
18064    if (CPUID == 6) { // ExynosM5Model
18065      if ((
18066            AArch64_MC::isScaledAddr(*MI)
18067            || AArch64_MC::isExynosScaledAddr(*MI)
18068          ))
18069        return 1041; // M5WriteSB_ReadDefault
18070      return 1041; // M5WriteSB_ReadDefault
18071      if (AArch64_MC::isExynosScaledAddr(*MI)
18072          && (
18073            AArch64_MC::isScaledAddr(*MI)
18074            || AArch64_MC::isExynosScaledAddr(*MI)
18075          ))
18076        return 1041; // M5WriteSB_ReadDefault
18077      if ((
18078            AArch64_MC::isScaledAddr(*MI)
18079            || AArch64_MC::isExynosScaledAddr(*MI)
18080          ))
18081        return 1042; // M5WriteS1_ReadDefault
18082      return 1042; // M5WriteS1_ReadDefault
18083    }
18084    if (CPUID == 7) { // FalkorModel
18085      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
18086    }
18087    if (CPUID == 10) { // ThunderX2T99Model
18088      if (AArch64_MC::isScaledAddr(*MI))
18089        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18090      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18091      if (AArch64_MC::isScaledAddr(*MI))
18092        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18093      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18094    }
18095    break;
18096  case 782: // STRDroW_STRSroW
18097    if (CPUID == 1) { // CycloneModel
18098      if (AArch64_MC::isScaledAddr(*MI))
18099        return 1037; // (WriteIS_WriteST)_ReadBaseRS
18100      return 1038; // WriteST_ReadDefault
18101    }
18102    if (CPUID == 4) { // ExynosM3Model
18103      if (AArch64_MC::isExynosScaledAddr(*MI))
18104        return 1091; // M3WriteSA_ReadDefault
18105      return 1091; // M3WriteSA_ReadDefault
18106    }
18107    if (CPUID == 5) { // ExynosM4Model
18108      if ((
18109            AArch64_MC::isScaledAddr(*MI)
18110            || AArch64_MC::isExynosScaledAddr(*MI)
18111          ))
18112        return 1092; // M4WriteVSTK_ReadDefault
18113      return 1092; // M4WriteVSTK_ReadDefault
18114      if (AArch64_MC::isExynosScaledAddr(*MI)
18115          && (
18116            AArch64_MC::isScaledAddr(*MI)
18117            || AArch64_MC::isExynosScaledAddr(*MI)
18118          ))
18119        return 1039; // M4WriteSB_ReadDefault
18120      if ((
18121            AArch64_MC::isScaledAddr(*MI)
18122            || AArch64_MC::isExynosScaledAddr(*MI)
18123          ))
18124        return 1040; // M4WriteS1_ReadDefault
18125      return 1040; // M4WriteS1_ReadDefault
18126    }
18127    if (CPUID == 6) { // ExynosM5Model
18128      if ((
18129            AArch64_MC::isScaledAddr(*MI)
18130            || AArch64_MC::isExynosScaledAddr(*MI)
18131          ))
18132        return 1093; // WriteVST_ReadDefault
18133      return 1093; // WriteVST_ReadDefault
18134      if (AArch64_MC::isExynosScaledAddr(*MI)
18135          && (
18136            AArch64_MC::isScaledAddr(*MI)
18137            || AArch64_MC::isExynosScaledAddr(*MI)
18138          ))
18139        return 1041; // M5WriteSB_ReadDefault
18140      if ((
18141            AArch64_MC::isScaledAddr(*MI)
18142            || AArch64_MC::isExynosScaledAddr(*MI)
18143          ))
18144        return 1042; // M5WriteS1_ReadDefault
18145      return 1042; // M5WriteS1_ReadDefault
18146    }
18147    if (CPUID == 7) { // FalkorModel
18148      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
18149    }
18150    if (CPUID == 10) { // ThunderX2T99Model
18151      if (AArch64_MC::isScaledAddr(*MI))
18152        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18153      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18154      if (AArch64_MC::isScaledAddr(*MI))
18155        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18156      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18157    }
18158    break;
18159  case 783: // MVNIv2i32_MVNIv2s_msl_MVNIv4i16
18160    if (CPUID == 5) { // ExynosM4Model
18161      if (AArch64_MC::isZeroFPIdiom(*MI))
18162        return 1048; // M4WriteZ0
18163      return 1047; // M4WriteNALU1
18164    }
18165    if (CPUID == 6) { // ExynosM5Model
18166      if (AArch64_MC::isZeroFPIdiom(*MI))
18167        return 1050; // M5WriteZ0
18168      return 1105; // M5WriteNALU1
18169    }
18170    break;
18171  case 784: // MVNIv4i32_MVNIv4s_msl_MVNIv8i16
18172    if (CPUID == 5) { // ExynosM4Model
18173      if (AArch64_MC::isZeroFPIdiom(*MI))
18174        return 1048; // M4WriteZ0
18175      return 1047; // M4WriteNALU1
18176    }
18177    if (CPUID == 6) { // ExynosM5Model
18178      if (AArch64_MC::isZeroFPIdiom(*MI))
18179        return 1050; // M5WriteZ0
18180      return 1105; // M5WriteNALU1
18181    }
18182    break;
18183  case 853: // ADDWrs
18184    if (CPUID == 2) { // CortexA53Model
18185      if (AArch64_MC::hasShiftedReg(*MI))
18186        return 1015; // WriteISReg_ReadI_A53ReadShifted
18187      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
18188    }
18189    if (CPUID == 3) { // CortexA57Model
18190      if (AArch64_MC::hasShiftedReg(*MI))
18191        return 1057; // WriteISReg
18192      return 1044; // WriteI
18193    }
18194    if (CPUID == 4) { // ExynosM3Model
18195      if (AArch64_MC::isExynosArithFast(*MI))
18196        return 1060; // M3WriteA1
18197      if (AArch64_MC::isExynosLogicFast(*MI))
18198        return 1060; // M3WriteA1
18199      return 1061; // M3WriteAA
18200    }
18201    if (CPUID == 5) { // ExynosM4Model
18202      if (AArch64_MC::isExynosArithFast(*MI))
18203        return 1062; // M4WriteA1
18204      if (AArch64_MC::isExynosLogicExFast(*MI))
18205        return 1062; // M4WriteA1
18206      return 1063; // M4WriteAA
18207    }
18208    if (CPUID == 6) { // ExynosM5Model
18209      if (AArch64_MC::isExynosArithFast(*MI))
18210        return 1066; // M5WriteA1W
18211      if (AArch64_MC::isExynosLogicExFast(*MI))
18212        return 1066; // M5WriteA1W
18213      return 1109; // M5WriteAAW
18214    }
18215    if (CPUID == 7) { // FalkorModel
18216      return 1059; // FalkorWr_2XYZ_2cyc
18217    }
18218    if (CPUID == 9) { // ThunderXT8XModel
18219      if (AArch64_MC::hasShiftedReg(*MI))
18220        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
18221      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
18222    }
18223    break;
18224  case 854: // SUBWrs
18225    if (CPUID == 2) { // CortexA53Model
18226      if (AArch64_MC::hasShiftedReg(*MI))
18227        return 1015; // WriteISReg_ReadI_A53ReadShifted
18228      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
18229    }
18230    if (CPUID == 3) { // CortexA57Model
18231      if (AArch64_MC::hasShiftedReg(*MI))
18232        return 1057; // WriteISReg
18233      return 1044; // WriteI
18234    }
18235    if (CPUID == 4) { // ExynosM3Model
18236      if (AArch64_MC::isExynosArithFast(*MI))
18237        return 1060; // M3WriteA1
18238      if (AArch64_MC::isExynosLogicFast(*MI))
18239        return 1060; // M3WriteA1
18240      return 1061; // M3WriteAA
18241    }
18242    if (CPUID == 5) { // ExynosM4Model
18243      if (AArch64_MC::isExynosArithFast(*MI))
18244        return 1062; // M4WriteA1
18245      if (AArch64_MC::isExynosLogicExFast(*MI))
18246        return 1062; // M4WriteA1
18247      return 1063; // M4WriteAA
18248    }
18249    if (CPUID == 6) { // ExynosM5Model
18250      if (AArch64_MC::isExynosArithFast(*MI))
18251        return 1066; // M5WriteA1W
18252      if (AArch64_MC::isExynosLogicExFast(*MI))
18253        return 1066; // M5WriteA1W
18254      return 1109; // M5WriteAAW
18255    }
18256    if (CPUID == 7) { // FalkorModel
18257      return 1059; // FalkorWr_2XYZ_2cyc
18258    }
18259    if (CPUID == 9) { // ThunderXT8XModel
18260      if (AArch64_MC::hasShiftedReg(*MI))
18261        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
18262      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
18263    }
18264    break;
18265  case 855: // ADDSWrs
18266    if (CPUID == 2) { // CortexA53Model
18267      if (AArch64_MC::hasShiftedReg(*MI))
18268        return 1015; // WriteISReg_ReadI_A53ReadShifted
18269      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
18270    }
18271    if (CPUID == 3) { // CortexA57Model
18272      if (AArch64_MC::hasShiftedReg(*MI))
18273        return 1057; // WriteISReg
18274      return 1044; // WriteI
18275    }
18276    if (CPUID == 4) { // ExynosM3Model
18277      if (AArch64_MC::isExynosArithFast(*MI))
18278        return 1060; // M3WriteA1
18279      if (AArch64_MC::isExynosLogicFast(*MI))
18280        return 1060; // M3WriteA1
18281      return 1061; // M3WriteAA
18282    }
18283    if (CPUID == 5) { // ExynosM4Model
18284      if (AArch64_MC::isExynosArithFast(*MI))
18285        return 1062; // M4WriteA1
18286      if (AArch64_MC::isExynosLogicExFast(*MI))
18287        return 1062; // M4WriteA1
18288      return 1063; // M4WriteAA
18289    }
18290    if (CPUID == 6) { // ExynosM5Model
18291      if (AArch64_MC::isExynosArithFast(*MI))
18292        return 1066; // M5WriteA1W
18293      if (AArch64_MC::isExynosLogicExFast(*MI))
18294        return 1066; // M5WriteA1W
18295      return 1109; // M5WriteAAW
18296    }
18297    if (CPUID == 7) { // FalkorModel
18298      return 1059; // FalkorWr_2XYZ_2cyc
18299    }
18300    if (CPUID == 9) { // ThunderXT8XModel
18301      if (AArch64_MC::hasShiftedReg(*MI))
18302        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
18303      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
18304    }
18305    break;
18306  case 856: // SUBSWrs
18307    if (CPUID == 2) { // CortexA53Model
18308      if (AArch64_MC::hasShiftedReg(*MI))
18309        return 1015; // WriteISReg_ReadI_A53ReadShifted
18310      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
18311    }
18312    if (CPUID == 3) { // CortexA57Model
18313      if (AArch64_MC::hasShiftedReg(*MI))
18314        return 1057; // WriteISReg
18315      return 1044; // WriteI
18316    }
18317    if (CPUID == 4) { // ExynosM3Model
18318      if (AArch64_MC::isExynosArithFast(*MI))
18319        return 1060; // M3WriteA1
18320      if (AArch64_MC::isExynosLogicFast(*MI))
18321        return 1060; // M3WriteA1
18322      return 1061; // M3WriteAA
18323    }
18324    if (CPUID == 5) { // ExynosM4Model
18325      if (AArch64_MC::isExynosArithFast(*MI))
18326        return 1062; // M4WriteA1
18327      if (AArch64_MC::isExynosLogicExFast(*MI))
18328        return 1062; // M4WriteA1
18329      return 1063; // M4WriteAA
18330    }
18331    if (CPUID == 6) { // ExynosM5Model
18332      if (AArch64_MC::isExynosArithFast(*MI))
18333        return 1066; // M5WriteA1W
18334      if (AArch64_MC::isExynosLogicExFast(*MI))
18335        return 1066; // M5WriteA1W
18336      return 1109; // M5WriteAAW
18337    }
18338    if (CPUID == 7) { // FalkorModel
18339      return 1059; // FalkorWr_2XYZ_2cyc
18340    }
18341    if (CPUID == 9) { // ThunderXT8XModel
18342      if (AArch64_MC::hasShiftedReg(*MI))
18343        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
18344      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
18345    }
18346    break;
18347  case 857: // ADDSWrx_ADDWrx
18348    if (CPUID == 2) { // CortexA53Model
18349      if (AArch64_MC::hasExtendedReg(*MI))
18350        return 1019; // WriteIEReg_ReadI_A53ReadShifted
18351      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
18352    }
18353    if (CPUID == 4) { // ExynosM3Model
18354      if (AArch64_MC::isExynosArithFast(*MI))
18355        return 1060; // M3WriteA1
18356      if (AArch64_MC::isExynosLogicFast(*MI))
18357        return 1060; // M3WriteA1
18358      return 1061; // M3WriteAA
18359    }
18360    if (CPUID == 5) { // ExynosM4Model
18361      if (AArch64_MC::isExynosArithFast(*MI))
18362        return 1062; // M4WriteA1
18363      if (AArch64_MC::isExynosLogicExFast(*MI))
18364        return 1062; // M4WriteA1
18365      return 1063; // M4WriteAA
18366    }
18367    if (CPUID == 6) { // ExynosM5Model
18368      if (AArch64_MC::isExynosArithFast(*MI))
18369        return 1066; // M5WriteA1W
18370      if (AArch64_MC::isExynosLogicExFast(*MI))
18371        return 1066; // M5WriteA1W
18372      return 1109; // M5WriteAAW
18373    }
18374    if (CPUID == 7) { // FalkorModel
18375      return 1059; // FalkorWr_2XYZ_2cyc
18376    }
18377    if (CPUID == 9) { // ThunderXT8XModel
18378      if (AArch64_MC::hasExtendedReg(*MI))
18379        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
18380      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
18381    }
18382    break;
18383  case 858: // SUBSWrx_SUBWrx
18384    if (CPUID == 2) { // CortexA53Model
18385      if (AArch64_MC::hasExtendedReg(*MI))
18386        return 1019; // WriteIEReg_ReadI_A53ReadShifted
18387      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
18388    }
18389    if (CPUID == 4) { // ExynosM3Model
18390      if (AArch64_MC::isExynosArithFast(*MI))
18391        return 1060; // M3WriteA1
18392      if (AArch64_MC::isExynosLogicFast(*MI))
18393        return 1060; // M3WriteA1
18394      return 1061; // M3WriteAA
18395    }
18396    if (CPUID == 5) { // ExynosM4Model
18397      if (AArch64_MC::isExynosArithFast(*MI))
18398        return 1062; // M4WriteA1
18399      if (AArch64_MC::isExynosLogicExFast(*MI))
18400        return 1062; // M4WriteA1
18401      return 1063; // M4WriteAA
18402    }
18403    if (CPUID == 6) { // ExynosM5Model
18404      if (AArch64_MC::isExynosArithFast(*MI))
18405        return 1066; // M5WriteA1W
18406      if (AArch64_MC::isExynosLogicExFast(*MI))
18407        return 1066; // M5WriteA1W
18408      return 1109; // M5WriteAAW
18409    }
18410    if (CPUID == 7) { // FalkorModel
18411      return 1059; // FalkorWr_2XYZ_2cyc
18412    }
18413    if (CPUID == 9) { // ThunderXT8XModel
18414      if (AArch64_MC::hasExtendedReg(*MI))
18415        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
18416      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
18417    }
18418    break;
18419  case 859: // ADDWri
18420    if (CPUID == 4) { // ExynosM3Model
18421      if (AArch64_MC::isCopyIdiom(*MI))
18422        return 1046; // M3WriteZ0
18423      if (AArch64_MC::isExynosArithFast(*MI))
18424        return 1060; // M3WriteA1
18425      return 1061; // M3WriteAA
18426    }
18427    if (CPUID == 5) { // ExynosM4Model
18428      if (AArch64_MC::isExynosResetFast(*MI))
18429        return 1048; // M4WriteZ0
18430      if (AArch64_MC::isExynosArithFast(*MI))
18431        return 1062; // M4WriteA1
18432      if (AArch64_MC::isExynosLogicExFast(*MI))
18433        return 1062; // M4WriteA1
18434      return 1063; // M4WriteAA
18435    }
18436    if (CPUID == 6) { // ExynosM5Model
18437      if (AArch64_MC::isExynosResetFast(*MI))
18438        return 1050; // M5WriteZ0
18439      if (AArch64_MC::isExynosArithFast(*MI))
18440        return 1066; // M5WriteA1W
18441      if (AArch64_MC::isExynosLogicExFast(*MI))
18442        return 1066; // M5WriteA1W
18443      return 1109; // M5WriteAAW
18444    }
18445    break;
18446  case 891: // CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr
18447    if (CPUID == 2) { // CortexA53Model
18448      if (AArch64_MC::hasShiftedReg(*MI))
18449        return 1015; // WriteISReg_ReadI_A53ReadShifted
18450      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
18451    }
18452    if (CPUID == 9) { // ThunderXT8XModel
18453      if (AArch64_MC::hasShiftedReg(*MI))
18454        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
18455      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
18456    }
18457    break;
18458  case 920: // LDRWroW
18459    if (CPUID == 1) { // CycloneModel
18460      if (AArch64_MC::isScaledAddr(*MI))
18461        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
18462      return 1030; // WriteLD_ReadDefault
18463    }
18464    if (CPUID == 4) { // ExynosM3Model
18465      if (AArch64_MC::isExynosScaledAddr(*MI))
18466        return 1081; // M3WriteLB_ReadDefault
18467      return 1081; // M3WriteLB_ReadDefault
18468    }
18469    if (CPUID == 5) { // ExynosM4Model
18470      if ((
18471            AArch64_MC::isScaledAddr(*MI)
18472            || AArch64_MC::isExynosScaledAddr(*MI)
18473          ))
18474        return 1031; // M4WriteL5_ReadDefault
18475      return 1031; // M4WriteL5_ReadDefault
18476      if (AArch64_MC::isExynosScaledAddr(*MI)
18477          && (
18478            AArch64_MC::isScaledAddr(*MI)
18479            || AArch64_MC::isExynosScaledAddr(*MI)
18480          ))
18481        return 1031; // M4WriteL5_ReadDefault
18482      if ((
18483            AArch64_MC::isScaledAddr(*MI)
18484            || AArch64_MC::isExynosScaledAddr(*MI)
18485          ))
18486        return 1032; // M4WriteL4_ReadDefault
18487      return 1032; // M4WriteL4_ReadDefault
18488    }
18489    if (CPUID == 6) { // ExynosM5Model
18490      if ((
18491            AArch64_MC::isScaledAddr(*MI)
18492            || AArch64_MC::isExynosScaledAddr(*MI)
18493          ))
18494        return 1033; // M5WriteL5_ReadDefault
18495      return 1033; // M5WriteL5_ReadDefault
18496      if (AArch64_MC::isExynosScaledAddr(*MI)
18497          && (
18498            AArch64_MC::isScaledAddr(*MI)
18499            || AArch64_MC::isExynosScaledAddr(*MI)
18500          ))
18501        return 1033; // M5WriteL5_ReadDefault
18502      if ((
18503            AArch64_MC::isScaledAddr(*MI)
18504            || AArch64_MC::isExynosScaledAddr(*MI)
18505          ))
18506        return 1034; // M5WriteL4_ReadDefault
18507      return 1034; // M5WriteL4_ReadDefault
18508    }
18509    if (CPUID == 7) { // FalkorModel
18510      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
18511    }
18512    if (CPUID == 10) { // ThunderX2T99Model
18513      if (AArch64_MC::isScaledAddr(*MI))
18514        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18515      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18516      if (AArch64_MC::isScaledAddr(*MI))
18517        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18518      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18519      if (AArch64_MC::isScaledAddr(*MI))
18520        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
18521      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
18522    }
18523    break;
18524  case 921: // LDRXroW
18525    if (CPUID == 1) { // CycloneModel
18526      if (AArch64_MC::isScaledAddr(*MI))
18527        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
18528      return 1030; // WriteLD_ReadDefault
18529    }
18530    if (CPUID == 4) { // ExynosM3Model
18531      if (AArch64_MC::isExynosScaledAddr(*MI))
18532        return 1081; // M3WriteLB_ReadDefault
18533      return 1081; // M3WriteLB_ReadDefault
18534    }
18535    if (CPUID == 5) { // ExynosM4Model
18536      if ((
18537            AArch64_MC::isScaledAddr(*MI)
18538            || AArch64_MC::isExynosScaledAddr(*MI)
18539          ))
18540        return 1031; // M4WriteL5_ReadDefault
18541      return 1031; // M4WriteL5_ReadDefault
18542      if (AArch64_MC::isExynosScaledAddr(*MI)
18543          && (
18544            AArch64_MC::isScaledAddr(*MI)
18545            || AArch64_MC::isExynosScaledAddr(*MI)
18546          ))
18547        return 1031; // M4WriteL5_ReadDefault
18548      if ((
18549            AArch64_MC::isScaledAddr(*MI)
18550            || AArch64_MC::isExynosScaledAddr(*MI)
18551          ))
18552        return 1032; // M4WriteL4_ReadDefault
18553      return 1032; // M4WriteL4_ReadDefault
18554    }
18555    if (CPUID == 6) { // ExynosM5Model
18556      if ((
18557            AArch64_MC::isScaledAddr(*MI)
18558            || AArch64_MC::isExynosScaledAddr(*MI)
18559          ))
18560        return 1033; // M5WriteL5_ReadDefault
18561      return 1033; // M5WriteL5_ReadDefault
18562      if (AArch64_MC::isExynosScaledAddr(*MI)
18563          && (
18564            AArch64_MC::isScaledAddr(*MI)
18565            || AArch64_MC::isExynosScaledAddr(*MI)
18566          ))
18567        return 1033; // M5WriteL5_ReadDefault
18568      if ((
18569            AArch64_MC::isScaledAddr(*MI)
18570            || AArch64_MC::isExynosScaledAddr(*MI)
18571          ))
18572        return 1034; // M5WriteL4_ReadDefault
18573      return 1034; // M5WriteL4_ReadDefault
18574    }
18575    if (CPUID == 7) { // FalkorModel
18576      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
18577    }
18578    if (CPUID == 10) { // ThunderX2T99Model
18579      if (AArch64_MC::isScaledAddr(*MI))
18580        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18581      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18582      if (AArch64_MC::isScaledAddr(*MI))
18583        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18584      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18585      if (AArch64_MC::isScaledAddr(*MI))
18586        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
18587      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
18588    }
18589    break;
18590  case 922: // LDRWroX
18591    if (CPUID == 1) { // CycloneModel
18592      if (AArch64_MC::isScaledAddr(*MI))
18593        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
18594      return 1030; // WriteLD_ReadDefault
18595    }
18596    if (CPUID == 4) { // ExynosM3Model
18597      if (AArch64_MC::isExynosScaledAddr(*MI))
18598        return 1082; // M3WriteL5_ReadDefault
18599      return 1083; // M3WriteL4_ReadDefault
18600    }
18601    if (CPUID == 5) { // ExynosM4Model
18602      if (AArch64_MC::isExynosScaledAddr(*MI)
18603          && (
18604            AArch64_MC::isScaledAddr(*MI)
18605            || AArch64_MC::isExynosScaledAddr(*MI)
18606          ))
18607        return 1031; // M4WriteL5_ReadDefault
18608      if ((
18609            AArch64_MC::isScaledAddr(*MI)
18610            || AArch64_MC::isExynosScaledAddr(*MI)
18611          ))
18612        return 1032; // M4WriteL4_ReadDefault
18613      return 1032; // M4WriteL4_ReadDefault
18614      if (AArch64_MC::isExynosScaledAddr(*MI)
18615          && (
18616            AArch64_MC::isScaledAddr(*MI)
18617            || AArch64_MC::isExynosScaledAddr(*MI)
18618          ))
18619        return 1031; // M4WriteL5_ReadDefault
18620      if ((
18621            AArch64_MC::isScaledAddr(*MI)
18622            || AArch64_MC::isExynosScaledAddr(*MI)
18623          ))
18624        return 1032; // M4WriteL4_ReadDefault
18625      return 1032; // M4WriteL4_ReadDefault
18626    }
18627    if (CPUID == 6) { // ExynosM5Model
18628      if (AArch64_MC::isExynosScaledAddr(*MI)
18629          && (
18630            AArch64_MC::isScaledAddr(*MI)
18631            || AArch64_MC::isExynosScaledAddr(*MI)
18632          ))
18633        return 1033; // M5WriteL5_ReadDefault
18634      if ((
18635            AArch64_MC::isScaledAddr(*MI)
18636            || AArch64_MC::isExynosScaledAddr(*MI)
18637          ))
18638        return 1034; // M5WriteL4_ReadDefault
18639      return 1034; // M5WriteL4_ReadDefault
18640      if (AArch64_MC::isExynosScaledAddr(*MI)
18641          && (
18642            AArch64_MC::isScaledAddr(*MI)
18643            || AArch64_MC::isExynosScaledAddr(*MI)
18644          ))
18645        return 1033; // M5WriteL5_ReadDefault
18646      if ((
18647            AArch64_MC::isScaledAddr(*MI)
18648            || AArch64_MC::isExynosScaledAddr(*MI)
18649          ))
18650        return 1034; // M5WriteL4_ReadDefault
18651      return 1034; // M5WriteL4_ReadDefault
18652    }
18653    if (CPUID == 7) { // FalkorModel
18654      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
18655    }
18656    if (CPUID == 10) { // ThunderX2T99Model
18657      if (AArch64_MC::isScaledAddr(*MI))
18658        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18659      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18660      if (AArch64_MC::isScaledAddr(*MI))
18661        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18662      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18663      if (AArch64_MC::isScaledAddr(*MI))
18664        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
18665      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
18666    }
18667    break;
18668  case 923: // LDRXroX
18669    if (CPUID == 1) { // CycloneModel
18670      if (AArch64_MC::isScaledAddr(*MI))
18671        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
18672      return 1030; // WriteLD_ReadDefault
18673    }
18674    if (CPUID == 4) { // ExynosM3Model
18675      if (AArch64_MC::isExynosScaledAddr(*MI))
18676        return 1082; // M3WriteL5_ReadDefault
18677      return 1083; // M3WriteL4_ReadDefault
18678    }
18679    if (CPUID == 5) { // ExynosM4Model
18680      if (AArch64_MC::isExynosScaledAddr(*MI)
18681          && (
18682            AArch64_MC::isScaledAddr(*MI)
18683            || AArch64_MC::isExynosScaledAddr(*MI)
18684          ))
18685        return 1031; // M4WriteL5_ReadDefault
18686      if ((
18687            AArch64_MC::isScaledAddr(*MI)
18688            || AArch64_MC::isExynosScaledAddr(*MI)
18689          ))
18690        return 1032; // M4WriteL4_ReadDefault
18691      return 1032; // M4WriteL4_ReadDefault
18692      if (AArch64_MC::isExynosScaledAddr(*MI)
18693          && (
18694            AArch64_MC::isScaledAddr(*MI)
18695            || AArch64_MC::isExynosScaledAddr(*MI)
18696          ))
18697        return 1031; // M4WriteL5_ReadDefault
18698      if ((
18699            AArch64_MC::isScaledAddr(*MI)
18700            || AArch64_MC::isExynosScaledAddr(*MI)
18701          ))
18702        return 1032; // M4WriteL4_ReadDefault
18703      return 1032; // M4WriteL4_ReadDefault
18704    }
18705    if (CPUID == 6) { // ExynosM5Model
18706      if (AArch64_MC::isExynosScaledAddr(*MI)
18707          && (
18708            AArch64_MC::isScaledAddr(*MI)
18709            || AArch64_MC::isExynosScaledAddr(*MI)
18710          ))
18711        return 1033; // M5WriteL5_ReadDefault
18712      if ((
18713            AArch64_MC::isScaledAddr(*MI)
18714            || AArch64_MC::isExynosScaledAddr(*MI)
18715          ))
18716        return 1034; // M5WriteL4_ReadDefault
18717      return 1034; // M5WriteL4_ReadDefault
18718      if (AArch64_MC::isExynosScaledAddr(*MI)
18719          && (
18720            AArch64_MC::isScaledAddr(*MI)
18721            || AArch64_MC::isExynosScaledAddr(*MI)
18722          ))
18723        return 1033; // M5WriteL5_ReadDefault
18724      if ((
18725            AArch64_MC::isScaledAddr(*MI)
18726            || AArch64_MC::isExynosScaledAddr(*MI)
18727          ))
18728        return 1034; // M5WriteL4_ReadDefault
18729      return 1034; // M5WriteL4_ReadDefault
18730    }
18731    if (CPUID == 7) { // FalkorModel
18732      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
18733    }
18734    if (CPUID == 10) { // ThunderX2T99Model
18735      if (AArch64_MC::isScaledAddr(*MI))
18736        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18737      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
18738      if (AArch64_MC::isScaledAddr(*MI))
18739        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18740      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
18741      if (AArch64_MC::isScaledAddr(*MI))
18742        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
18743      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
18744    }
18745    break;
18746  case 946: // STRBBroW
18747    if (CPUID == 1) { // CycloneModel
18748      if (AArch64_MC::isScaledAddr(*MI))
18749        return 1037; // (WriteIS_WriteST)_ReadBaseRS
18750      return 1038; // WriteST_ReadDefault
18751    }
18752    if (CPUID == 4) { // ExynosM3Model
18753      if (AArch64_MC::isExynosScaledAddr(*MI))
18754        return 1096; // M3WriteSB_ReadDefault
18755      return 1096; // M3WriteSB_ReadDefault
18756    }
18757    if (CPUID == 5) { // ExynosM4Model
18758      if ((
18759            AArch64_MC::isScaledAddr(*MI)
18760            || AArch64_MC::isExynosScaledAddr(*MI)
18761          ))
18762        return 1039; // M4WriteSB_ReadDefault
18763      return 1039; // M4WriteSB_ReadDefault
18764      if (AArch64_MC::isExynosScaledAddr(*MI)
18765          && (
18766            AArch64_MC::isScaledAddr(*MI)
18767            || AArch64_MC::isExynosScaledAddr(*MI)
18768          ))
18769        return 1039; // M4WriteSB_ReadDefault
18770      if ((
18771            AArch64_MC::isScaledAddr(*MI)
18772            || AArch64_MC::isExynosScaledAddr(*MI)
18773          ))
18774        return 1040; // M4WriteS1_ReadDefault
18775      return 1040; // M4WriteS1_ReadDefault
18776    }
18777    if (CPUID == 6) { // ExynosM5Model
18778      if ((
18779            AArch64_MC::isScaledAddr(*MI)
18780            || AArch64_MC::isExynosScaledAddr(*MI)
18781          ))
18782        return 1041; // M5WriteSB_ReadDefault
18783      return 1041; // M5WriteSB_ReadDefault
18784      if (AArch64_MC::isExynosScaledAddr(*MI)
18785          && (
18786            AArch64_MC::isScaledAddr(*MI)
18787            || AArch64_MC::isExynosScaledAddr(*MI)
18788          ))
18789        return 1041; // M5WriteSB_ReadDefault
18790      if ((
18791            AArch64_MC::isScaledAddr(*MI)
18792            || AArch64_MC::isExynosScaledAddr(*MI)
18793          ))
18794        return 1042; // M5WriteS1_ReadDefault
18795      return 1042; // M5WriteS1_ReadDefault
18796    }
18797    if (CPUID == 7) { // FalkorModel
18798      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
18799    }
18800    if (CPUID == 10) { // ThunderX2T99Model
18801      if (AArch64_MC::isScaledAddr(*MI))
18802        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18803      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18804      if (AArch64_MC::isScaledAddr(*MI))
18805        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18806      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18807    }
18808    break;
18809  case 947: // STRBBroX
18810    if (CPUID == 1) { // CycloneModel
18811      if (AArch64_MC::isScaledAddr(*MI))
18812        return 1037; // (WriteIS_WriteST)_ReadBaseRS
18813      return 1038; // WriteST_ReadDefault
18814    }
18815    if (CPUID == 4) { // ExynosM3Model
18816      if (AArch64_MC::isExynosScaledAddr(*MI))
18817        return 1038; // WriteST_ReadDefault
18818      return 1038; // WriteST_ReadDefault
18819    }
18820    if (CPUID == 5) { // ExynosM4Model
18821      if ((
18822            AArch64_MC::isScaledAddr(*MI)
18823            || AArch64_MC::isExynosScaledAddr(*MI)
18824          ))
18825        return 1038; // WriteST_ReadDefault
18826      return 1038; // WriteST_ReadDefault
18827      if (AArch64_MC::isExynosScaledAddr(*MI)
18828          && (
18829            AArch64_MC::isScaledAddr(*MI)
18830            || AArch64_MC::isExynosScaledAddr(*MI)
18831          ))
18832        return 1039; // M4WriteSB_ReadDefault
18833      if ((
18834            AArch64_MC::isScaledAddr(*MI)
18835            || AArch64_MC::isExynosScaledAddr(*MI)
18836          ))
18837        return 1040; // M4WriteS1_ReadDefault
18838      return 1040; // M4WriteS1_ReadDefault
18839    }
18840    if (CPUID == 6) { // ExynosM5Model
18841      if ((
18842            AArch64_MC::isScaledAddr(*MI)
18843            || AArch64_MC::isExynosScaledAddr(*MI)
18844          ))
18845        return 1038; // WriteST_ReadDefault
18846      return 1038; // WriteST_ReadDefault
18847      if (AArch64_MC::isExynosScaledAddr(*MI)
18848          && (
18849            AArch64_MC::isScaledAddr(*MI)
18850            || AArch64_MC::isExynosScaledAddr(*MI)
18851          ))
18852        return 1041; // M5WriteSB_ReadDefault
18853      if ((
18854            AArch64_MC::isScaledAddr(*MI)
18855            || AArch64_MC::isExynosScaledAddr(*MI)
18856          ))
18857        return 1042; // M5WriteS1_ReadDefault
18858      return 1042; // M5WriteS1_ReadDefault
18859    }
18860    if (CPUID == 7) { // FalkorModel
18861      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
18862    }
18863    if (CPUID == 10) { // ThunderX2T99Model
18864      if (AArch64_MC::isScaledAddr(*MI))
18865        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18866      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18867      if (AArch64_MC::isScaledAddr(*MI))
18868        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18869      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18870    }
18871    break;
18872  case 948: // STRDroW
18873    if (CPUID == 1) { // CycloneModel
18874      if (AArch64_MC::isScaledAddr(*MI))
18875        return 1037; // (WriteIS_WriteST)_ReadBaseRS
18876      return 1038; // WriteST_ReadDefault
18877    }
18878    if (CPUID == 4) { // ExynosM3Model
18879      if (AArch64_MC::isExynosScaledAddr(*MI))
18880        return 1091; // M3WriteSA_ReadDefault
18881      return 1091; // M3WriteSA_ReadDefault
18882    }
18883    if (CPUID == 5) { // ExynosM4Model
18884      if ((
18885            AArch64_MC::isScaledAddr(*MI)
18886            || AArch64_MC::isExynosScaledAddr(*MI)
18887          ))
18888        return 1092; // M4WriteVSTK_ReadDefault
18889      return 1092; // M4WriteVSTK_ReadDefault
18890      if (AArch64_MC::isExynosScaledAddr(*MI)
18891          && (
18892            AArch64_MC::isScaledAddr(*MI)
18893            || AArch64_MC::isExynosScaledAddr(*MI)
18894          ))
18895        return 1039; // M4WriteSB_ReadDefault
18896      if ((
18897            AArch64_MC::isScaledAddr(*MI)
18898            || AArch64_MC::isExynosScaledAddr(*MI)
18899          ))
18900        return 1040; // M4WriteS1_ReadDefault
18901      return 1040; // M4WriteS1_ReadDefault
18902    }
18903    if (CPUID == 6) { // ExynosM5Model
18904      if ((
18905            AArch64_MC::isScaledAddr(*MI)
18906            || AArch64_MC::isExynosScaledAddr(*MI)
18907          ))
18908        return 1093; // WriteVST_ReadDefault
18909      return 1093; // WriteVST_ReadDefault
18910      if (AArch64_MC::isExynosScaledAddr(*MI)
18911          && (
18912            AArch64_MC::isScaledAddr(*MI)
18913            || AArch64_MC::isExynosScaledAddr(*MI)
18914          ))
18915        return 1041; // M5WriteSB_ReadDefault
18916      if ((
18917            AArch64_MC::isScaledAddr(*MI)
18918            || AArch64_MC::isExynosScaledAddr(*MI)
18919          ))
18920        return 1042; // M5WriteS1_ReadDefault
18921      return 1042; // M5WriteS1_ReadDefault
18922    }
18923    if (CPUID == 7) { // FalkorModel
18924      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
18925    }
18926    if (CPUID == 10) { // ThunderX2T99Model
18927      if (AArch64_MC::isScaledAddr(*MI))
18928        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18929      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18930      if (AArch64_MC::isScaledAddr(*MI))
18931        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18932      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18933    }
18934    break;
18935  case 949: // STRDroX
18936    if (CPUID == 1) { // CycloneModel
18937      if (AArch64_MC::isScaledAddr(*MI))
18938        return 1037; // (WriteIS_WriteST)_ReadBaseRS
18939      return 1038; // WriteST_ReadDefault
18940    }
18941    if (CPUID == 4) { // ExynosM3Model
18942      if (AArch64_MC::isExynosScaledAddr(*MI))
18943        return 1093; // WriteVST_ReadDefault
18944      return 1093; // WriteVST_ReadDefault
18945    }
18946    if (CPUID == 5) { // ExynosM4Model
18947      if ((
18948            AArch64_MC::isScaledAddr(*MI)
18949            || AArch64_MC::isExynosScaledAddr(*MI)
18950          ))
18951        return 1093; // WriteVST_ReadDefault
18952      return 1093; // WriteVST_ReadDefault
18953      if (AArch64_MC::isExynosScaledAddr(*MI)
18954          && (
18955            AArch64_MC::isScaledAddr(*MI)
18956            || AArch64_MC::isExynosScaledAddr(*MI)
18957          ))
18958        return 1039; // M4WriteSB_ReadDefault
18959      if ((
18960            AArch64_MC::isScaledAddr(*MI)
18961            || AArch64_MC::isExynosScaledAddr(*MI)
18962          ))
18963        return 1040; // M4WriteS1_ReadDefault
18964      return 1040; // M4WriteS1_ReadDefault
18965    }
18966    if (CPUID == 6) { // ExynosM5Model
18967      if ((
18968            AArch64_MC::isScaledAddr(*MI)
18969            || AArch64_MC::isExynosScaledAddr(*MI)
18970          ))
18971        return 1093; // WriteVST_ReadDefault
18972      return 1093; // WriteVST_ReadDefault
18973      if (AArch64_MC::isExynosScaledAddr(*MI)
18974          && (
18975            AArch64_MC::isScaledAddr(*MI)
18976            || AArch64_MC::isExynosScaledAddr(*MI)
18977          ))
18978        return 1041; // M5WriteSB_ReadDefault
18979      if ((
18980            AArch64_MC::isScaledAddr(*MI)
18981            || AArch64_MC::isExynosScaledAddr(*MI)
18982          ))
18983        return 1042; // M5WriteS1_ReadDefault
18984      return 1042; // M5WriteS1_ReadDefault
18985    }
18986    if (CPUID == 7) { // FalkorModel
18987      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
18988    }
18989    if (CPUID == 10) { // ThunderX2T99Model
18990      if (AArch64_MC::isScaledAddr(*MI))
18991        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18992      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
18993      if (AArch64_MC::isScaledAddr(*MI))
18994        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18995      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
18996    }
18997    break;
18998  case 950: // STRWroW
18999    if (CPUID == 1) { // CycloneModel
19000      if (AArch64_MC::isScaledAddr(*MI))
19001        return 1037; // (WriteIS_WriteST)_ReadBaseRS
19002      return 1038; // WriteST_ReadDefault
19003    }
19004    if (CPUID == 4) { // ExynosM3Model
19005      if (AArch64_MC::isExynosScaledAddr(*MI))
19006        return 1096; // M3WriteSB_ReadDefault
19007      return 1096; // M3WriteSB_ReadDefault
19008    }
19009    if (CPUID == 5) { // ExynosM4Model
19010      if ((
19011            AArch64_MC::isScaledAddr(*MI)
19012            || AArch64_MC::isExynosScaledAddr(*MI)
19013          ))
19014        return 1039; // M4WriteSB_ReadDefault
19015      return 1039; // M4WriteSB_ReadDefault
19016      if (AArch64_MC::isExynosScaledAddr(*MI)
19017          && (
19018            AArch64_MC::isScaledAddr(*MI)
19019            || AArch64_MC::isExynosScaledAddr(*MI)
19020          ))
19021        return 1039; // M4WriteSB_ReadDefault
19022      if ((
19023            AArch64_MC::isScaledAddr(*MI)
19024            || AArch64_MC::isExynosScaledAddr(*MI)
19025          ))
19026        return 1040; // M4WriteS1_ReadDefault
19027      return 1040; // M4WriteS1_ReadDefault
19028    }
19029    if (CPUID == 6) { // ExynosM5Model
19030      if ((
19031            AArch64_MC::isScaledAddr(*MI)
19032            || AArch64_MC::isExynosScaledAddr(*MI)
19033          ))
19034        return 1041; // M5WriteSB_ReadDefault
19035      return 1041; // M5WriteSB_ReadDefault
19036      if (AArch64_MC::isExynosScaledAddr(*MI)
19037          && (
19038            AArch64_MC::isScaledAddr(*MI)
19039            || AArch64_MC::isExynosScaledAddr(*MI)
19040          ))
19041        return 1041; // M5WriteSB_ReadDefault
19042      if ((
19043            AArch64_MC::isScaledAddr(*MI)
19044            || AArch64_MC::isExynosScaledAddr(*MI)
19045          ))
19046        return 1042; // M5WriteS1_ReadDefault
19047      return 1042; // M5WriteS1_ReadDefault
19048    }
19049    if (CPUID == 7) { // FalkorModel
19050      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
19051    }
19052    if (CPUID == 10) { // ThunderX2T99Model
19053      if (AArch64_MC::isScaledAddr(*MI))
19054        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
19055      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
19056      if (AArch64_MC::isScaledAddr(*MI))
19057        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
19058      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
19059    }
19060    break;
19061  case 951: // STRWroX
19062    if (CPUID == 1) { // CycloneModel
19063      if (AArch64_MC::isScaledAddr(*MI))
19064        return 1037; // (WriteIS_WriteST)_ReadBaseRS
19065      return 1038; // WriteST_ReadDefault
19066    }
19067    if (CPUID == 4) { // ExynosM3Model
19068      if (AArch64_MC::isExynosScaledAddr(*MI))
19069        return 1038; // WriteST_ReadDefault
19070      return 1038; // WriteST_ReadDefault
19071    }
19072    if (CPUID == 5) { // ExynosM4Model
19073      if ((
19074            AArch64_MC::isScaledAddr(*MI)
19075            || AArch64_MC::isExynosScaledAddr(*MI)
19076          ))
19077        return 1038; // WriteST_ReadDefault
19078      return 1038; // WriteST_ReadDefault
19079      if (AArch64_MC::isExynosScaledAddr(*MI)
19080          && (
19081            AArch64_MC::isScaledAddr(*MI)
19082            || AArch64_MC::isExynosScaledAddr(*MI)
19083          ))
19084        return 1039; // M4WriteSB_ReadDefault
19085      if ((
19086            AArch64_MC::isScaledAddr(*MI)
19087            || AArch64_MC::isExynosScaledAddr(*MI)
19088          ))
19089        return 1040; // M4WriteS1_ReadDefault
19090      return 1040; // M4WriteS1_ReadDefault
19091    }
19092    if (CPUID == 6) { // ExynosM5Model
19093      if ((
19094            AArch64_MC::isScaledAddr(*MI)
19095            || AArch64_MC::isExynosScaledAddr(*MI)
19096          ))
19097        return 1038; // WriteST_ReadDefault
19098      return 1038; // WriteST_ReadDefault
19099      if (AArch64_MC::isExynosScaledAddr(*MI)
19100          && (
19101            AArch64_MC::isScaledAddr(*MI)
19102            || AArch64_MC::isExynosScaledAddr(*MI)
19103          ))
19104        return 1041; // M5WriteSB_ReadDefault
19105      if ((
19106            AArch64_MC::isScaledAddr(*MI)
19107            || AArch64_MC::isExynosScaledAddr(*MI)
19108          ))
19109        return 1042; // M5WriteS1_ReadDefault
19110      return 1042; // M5WriteS1_ReadDefault
19111    }
19112    if (CPUID == 7) { // FalkorModel
19113      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
19114    }
19115    if (CPUID == 10) { // ThunderX2T99Model
19116      if (AArch64_MC::isScaledAddr(*MI))
19117        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
19118      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
19119      if (AArch64_MC::isScaledAddr(*MI))
19120        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
19121      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
19122    }
19123    break;
19124  case 962: // MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns
19125    if (CPUID == 4) { // ExynosM3Model
19126      if (AArch64_MC::isZeroFPIdiom(*MI))
19127        return 1046; // M3WriteZ0
19128      return 1045; // M3WriteNALU1
19129    }
19130    if (CPUID == 5) { // ExynosM4Model
19131      if (AArch64_MC::isZeroFPIdiom(*MI))
19132        return 1048; // M4WriteZ0
19133      return 1047; // M4WriteNALU1
19134    }
19135    if (CPUID == 6) { // ExynosM5Model
19136      if (AArch64_MC::isZeroFPIdiom(*MI))
19137        return 1050; // M5WriteZ0
19138      return 1105; // M5WriteNALU1
19139    }
19140    break;
19141  };
19142  // Don't know how to resolve this scheduling class.
19143  return 0;
19144}
19145} // end namespace AArch64_MC
19146
19147struct AArch64GenMCSubtargetInfo : public MCSubtargetInfo {
19148  AArch64GenMCSubtargetInfo(const Triple &TT,
19149    StringRef CPU, StringRef FS, ArrayRef<SubtargetFeatureKV> PF,
19150    ArrayRef<SubtargetSubTypeKV> PD,
19151    const MCWriteProcResEntry *WPR,
19152    const MCWriteLatencyEntry *WL,
19153    const MCReadAdvanceEntry *RA, const InstrStage *IS,
19154    const unsigned *OC, const unsigned *FP) :
19155      MCSubtargetInfo(TT, CPU, FS, PF, PD,
19156                      WPR, WL, RA, IS, OC, FP) { }
19157
19158  unsigned resolveVariantSchedClass(unsigned SchedClass,
19159      const MCInst *MI, unsigned CPUID) const override {
19160    return AArch64_MC::resolveVariantSchedClassImpl(SchedClass, MI, CPUID);
19161  }
19162};
19163
19164static inline MCSubtargetInfo *createAArch64MCSubtargetInfoImpl(const Triple &TT, StringRef CPU, StringRef FS) {
19165  return new AArch64GenMCSubtargetInfo(TT, CPU, FS, AArch64FeatureKV, AArch64SubTypeKV,
19166                      AArch64WriteProcResTable, AArch64WriteLatencyTable, AArch64ReadAdvanceTable,
19167                      nullptr, nullptr, nullptr);
19168}
19169
19170} // end namespace llvm
19171
19172#endif // GET_SUBTARGETINFO_MC_DESC
19173
19174
19175#ifdef GET_SUBTARGETINFO_TARGET_DESC
19176#undef GET_SUBTARGETINFO_TARGET_DESC
19177
19178#include "llvm/Support/Debug.h"
19179#include "llvm/Support/raw_ostream.h"
19180
19181// ParseSubtargetFeatures - Parses features string setting specified
19182// subtarget options.
19183void llvm::AArch64Subtarget::ParseSubtargetFeatures(StringRef CPU, StringRef FS) {
19184  LLVM_DEBUG(dbgs() << "\nFeatures:" << FS);
19185  LLVM_DEBUG(dbgs() << "\nCPU:" << CPU << "\n\n");
19186  InitMCProcessorInfo(CPU, FS);
19187  const FeatureBitset& Bits = getFeatureBits();
19188  if (Bits[AArch64::FeatureAES]) HasAES = true;
19189  if (Bits[AArch64::FeatureAM]) HasAM = true;
19190  if (Bits[AArch64::FeatureAggressiveFMA]) HasAggressiveFMA = true;
19191  if (Bits[AArch64::FeatureAltFPCmp]) HasAlternativeNZCV = true;
19192  if (Bits[AArch64::FeatureAlternateSExtLoadCVTF32Pattern]) UseAlternateSExtLoadCVTF32Pattern = true;
19193  if (Bits[AArch64::FeatureArithmeticBccFusion]) HasArithmeticBccFusion = true;
19194  if (Bits[AArch64::FeatureArithmeticCbzFusion]) HasArithmeticCbzFusion = true;
19195  if (Bits[AArch64::FeatureBalanceFPOps]) BalanceFPOps = true;
19196  if (Bits[AArch64::FeatureBranchTargetId]) HasBTI = true;
19197  if (Bits[AArch64::FeatureCCIDX]) HasCCIDX = true;
19198  if (Bits[AArch64::FeatureCCPP]) HasCCPP = true;
19199  if (Bits[AArch64::FeatureCRC]) HasCRC = true;
19200  if (Bits[AArch64::FeatureCacheDeepPersist]) HasCCDP = true;
19201  if (Bits[AArch64::FeatureCallSavedX8]) CustomCallSavedXRegs[8] = true;
19202  if (Bits[AArch64::FeatureCallSavedX9]) CustomCallSavedXRegs[9] = true;
19203  if (Bits[AArch64::FeatureCallSavedX10]) CustomCallSavedXRegs[10] = true;
19204  if (Bits[AArch64::FeatureCallSavedX11]) CustomCallSavedXRegs[11] = true;
19205  if (Bits[AArch64::FeatureCallSavedX12]) CustomCallSavedXRegs[12] = true;
19206  if (Bits[AArch64::FeatureCallSavedX13]) CustomCallSavedXRegs[13] = true;
19207  if (Bits[AArch64::FeatureCallSavedX14]) CustomCallSavedXRegs[14] = true;
19208  if (Bits[AArch64::FeatureCallSavedX15]) CustomCallSavedXRegs[15] = true;
19209  if (Bits[AArch64::FeatureCallSavedX18]) CustomCallSavedXRegs[18] = true;
19210  if (Bits[AArch64::FeatureComplxNum]) HasComplxNum = true;
19211  if (Bits[AArch64::FeatureCrypto]) HasCrypto = true;
19212  if (Bits[AArch64::FeatureCustomCheapAsMoveHandling]) CustomAsCheapAsMove = true;
19213  if (Bits[AArch64::FeatureDIT]) HasDIT = true;
19214  if (Bits[AArch64::FeatureDisableLatencySchedHeuristic]) DisableLatencySchedHeuristic = true;
19215  if (Bits[AArch64::FeatureDotProd]) HasDotProd = true;
19216  if (Bits[AArch64::FeatureETE]) HasETE = true;
19217  if (Bits[AArch64::FeatureExynosCheapAsMoveHandling]) ExynosAsCheapAsMove = true;
19218  if (Bits[AArch64::FeatureFMI]) HasFMI = true;
19219  if (Bits[AArch64::FeatureFP16FML]) HasFP16FML = true;
19220  if (Bits[AArch64::FeatureFPARMv8]) HasFPARMv8 = true;
19221  if (Bits[AArch64::FeatureFRInt3264]) HasFRInt3264 = true;
19222  if (Bits[AArch64::FeatureForce32BitJumpTables]) Force32BitJumpTables = true;
19223  if (Bits[AArch64::FeatureFullFP16]) HasFullFP16 = true;
19224  if (Bits[AArch64::FeatureFuseAES]) HasFuseAES = true;
19225  if (Bits[AArch64::FeatureFuseAddress]) HasFuseAddress = true;
19226  if (Bits[AArch64::FeatureFuseArithmeticLogic]) HasFuseArithmeticLogic = true;
19227  if (Bits[AArch64::FeatureFuseCCSelect]) HasFuseCCSelect = true;
19228  if (Bits[AArch64::FeatureFuseCryptoEOR]) HasFuseCryptoEOR = true;
19229  if (Bits[AArch64::FeatureFuseLiterals]) HasFuseLiterals = true;
19230  if (Bits[AArch64::FeatureJS]) HasJS = true;
19231  if (Bits[AArch64::FeatureLOR]) HasLOR = true;
19232  if (Bits[AArch64::FeatureLSE]) HasLSE = true;
19233  if (Bits[AArch64::FeatureLSLFast]) HasLSLFast = true;
19234  if (Bits[AArch64::FeatureMPAM]) HasMPAM = true;
19235  if (Bits[AArch64::FeatureMTE]) HasMTE = true;
19236  if (Bits[AArch64::FeatureNEON]) HasNEON = true;
19237  if (Bits[AArch64::FeatureNV]) HasNV = true;
19238  if (Bits[AArch64::FeatureNoNegativeImmediates]) NegativeImmediates = false;
19239  if (Bits[AArch64::FeaturePA]) HasPA = true;
19240  if (Bits[AArch64::FeaturePAN]) HasPAN = true;
19241  if (Bits[AArch64::FeaturePAN_RWV]) HasPAN_RWV = true;
19242  if (Bits[AArch64::FeaturePMU]) HasPMU = true;
19243  if (Bits[AArch64::FeaturePerfMon]) HasPerfMon = true;
19244  if (Bits[AArch64::FeaturePostRAScheduler]) UsePostRAScheduler = true;
19245  if (Bits[AArch64::FeaturePredRes]) HasPredRes = true;
19246  if (Bits[AArch64::FeaturePredictableSelectIsExpensive]) PredictableSelectIsExpensive = true;
19247  if (Bits[AArch64::FeaturePsUAO]) HasPsUAO = true;
19248  if (Bits[AArch64::FeatureRAS]) HasRAS = true;
19249  if (Bits[AArch64::FeatureRASv8_4]) HasRASv8_4 = true;
19250  if (Bits[AArch64::FeatureRCPC]) HasRCPC = true;
19251  if (Bits[AArch64::FeatureRCPC_IMMO]) HasRCPC_IMMO = true;
19252  if (Bits[AArch64::FeatureRDM]) HasRDM = true;
19253  if (Bits[AArch64::FeatureRandGen]) HasRandGen = true;
19254  if (Bits[AArch64::FeatureReserveX1]) ReserveXRegister[1] = true;
19255  if (Bits[AArch64::FeatureReserveX2]) ReserveXRegister[2] = true;
19256  if (Bits[AArch64::FeatureReserveX3]) ReserveXRegister[3] = true;
19257  if (Bits[AArch64::FeatureReserveX4]) ReserveXRegister[4] = true;
19258  if (Bits[AArch64::FeatureReserveX5]) ReserveXRegister[5] = true;
19259  if (Bits[AArch64::FeatureReserveX6]) ReserveXRegister[6] = true;
19260  if (Bits[AArch64::FeatureReserveX7]) ReserveXRegister[7] = true;
19261  if (Bits[AArch64::FeatureReserveX9]) ReserveXRegister[9] = true;
19262  if (Bits[AArch64::FeatureReserveX10]) ReserveXRegister[10] = true;
19263  if (Bits[AArch64::FeatureReserveX11]) ReserveXRegister[11] = true;
19264  if (Bits[AArch64::FeatureReserveX12]) ReserveXRegister[12] = true;
19265  if (Bits[AArch64::FeatureReserveX13]) ReserveXRegister[13] = true;
19266  if (Bits[AArch64::FeatureReserveX14]) ReserveXRegister[14] = true;
19267  if (Bits[AArch64::FeatureReserveX15]) ReserveXRegister[15] = true;
19268  if (Bits[AArch64::FeatureReserveX18]) ReserveXRegister[18] = true;
19269  if (Bits[AArch64::FeatureReserveX20]) ReserveXRegister[20] = true;
19270  if (Bits[AArch64::FeatureReserveX21]) ReserveXRegister[21] = true;
19271  if (Bits[AArch64::FeatureReserveX22]) ReserveXRegister[22] = true;
19272  if (Bits[AArch64::FeatureReserveX23]) ReserveXRegister[23] = true;
19273  if (Bits[AArch64::FeatureReserveX24]) ReserveXRegister[24] = true;
19274  if (Bits[AArch64::FeatureReserveX25]) ReserveXRegister[25] = true;
19275  if (Bits[AArch64::FeatureReserveX26]) ReserveXRegister[26] = true;
19276  if (Bits[AArch64::FeatureReserveX27]) ReserveXRegister[27] = true;
19277  if (Bits[AArch64::FeatureReserveX28]) ReserveXRegister[28] = true;
19278  if (Bits[AArch64::FeatureSB]) HasSB = true;
19279  if (Bits[AArch64::FeatureSEL2]) HasSEL2 = true;
19280  if (Bits[AArch64::FeatureSHA2]) HasSHA2 = true;
19281  if (Bits[AArch64::FeatureSHA3]) HasSHA3 = true;
19282  if (Bits[AArch64::FeatureSM4]) HasSM4 = true;
19283  if (Bits[AArch64::FeatureSPE]) HasSPE = true;
19284  if (Bits[AArch64::FeatureSSBS]) HasSSBS = true;
19285  if (Bits[AArch64::FeatureSVE]) HasSVE = true;
19286  if (Bits[AArch64::FeatureSVE2]) HasSVE2 = true;
19287  if (Bits[AArch64::FeatureSVE2AES]) HasSVE2AES = true;
19288  if (Bits[AArch64::FeatureSVE2BitPerm]) HasSVE2BitPerm = true;
19289  if (Bits[AArch64::FeatureSVE2SHA3]) HasSVE2SHA3 = true;
19290  if (Bits[AArch64::FeatureSVE2SM4]) HasSVE2SM4 = true;
19291  if (Bits[AArch64::FeatureSlowMisaligned128Store]) Misaligned128StoreIsSlow = true;
19292  if (Bits[AArch64::FeatureSlowPaired128]) Paired128IsSlow = true;
19293  if (Bits[AArch64::FeatureSlowSTRQro]) STRQroIsSlow = true;
19294  if (Bits[AArch64::FeatureSpecRestrict]) HasSpecRestrict = true;
19295  if (Bits[AArch64::FeatureStrictAlign]) StrictAlign = true;
19296  if (Bits[AArch64::FeatureTLB_RMI]) HasTLB_RMI = true;
19297  if (Bits[AArch64::FeatureTME]) HasTME = true;
19298  if (Bits[AArch64::FeatureTRACEV8_4]) HasTRACEV8_4 = true;
19299  if (Bits[AArch64::FeatureTRBE]) HasTRBE = true;
19300  if (Bits[AArch64::FeatureTaggedGlobals]) AllowTaggedGlobals = true;
19301  if (Bits[AArch64::FeatureUseAA]) UseAA = true;
19302  if (Bits[AArch64::FeatureUseEL1ForTP]) UseEL1ForTP = true;
19303  if (Bits[AArch64::FeatureUseEL2ForTP]) UseEL2ForTP = true;
19304  if (Bits[AArch64::FeatureUseEL3ForTP]) UseEL3ForTP = true;
19305  if (Bits[AArch64::FeatureUseRSqrt]) UseRSqrt = true;
19306  if (Bits[AArch64::FeatureVH]) HasVH = true;
19307  if (Bits[AArch64::FeatureZCRegMove]) HasZeroCycleRegMove = true;
19308  if (Bits[AArch64::FeatureZCZeroing]) HasZeroCycleZeroing = true;
19309  if (Bits[AArch64::FeatureZCZeroingFP]) HasZeroCycleZeroingFP = true;
19310  if (Bits[AArch64::FeatureZCZeroingFPWorkaround]) HasZeroCycleZeroingFPWorkaround = true;
19311  if (Bits[AArch64::FeatureZCZeroingGP]) HasZeroCycleZeroingGP = true;
19312  if (Bits[AArch64::HasV8_1aOps]) HasV8_1aOps = true;
19313  if (Bits[AArch64::HasV8_2aOps]) HasV8_2aOps = true;
19314  if (Bits[AArch64::HasV8_3aOps]) HasV8_3aOps = true;
19315  if (Bits[AArch64::HasV8_4aOps]) HasV8_4aOps = true;
19316  if (Bits[AArch64::HasV8_5aOps]) HasV8_5aOps = true;
19317  if (Bits[AArch64::ProcA35] && ARMProcFamily < CortexA35) ARMProcFamily = CortexA35;
19318  if (Bits[AArch64::ProcA53] && ARMProcFamily < CortexA53) ARMProcFamily = CortexA53;
19319  if (Bits[AArch64::ProcA55] && ARMProcFamily < CortexA55) ARMProcFamily = CortexA55;
19320  if (Bits[AArch64::ProcA57] && ARMProcFamily < CortexA57) ARMProcFamily = CortexA57;
19321  if (Bits[AArch64::ProcA65] && ARMProcFamily < CortexA65) ARMProcFamily = CortexA65;
19322  if (Bits[AArch64::ProcA72] && ARMProcFamily < CortexA72) ARMProcFamily = CortexA72;
19323  if (Bits[AArch64::ProcA73] && ARMProcFamily < CortexA73) ARMProcFamily = CortexA73;
19324  if (Bits[AArch64::ProcA75] && ARMProcFamily < CortexA75) ARMProcFamily = CortexA75;
19325  if (Bits[AArch64::ProcA76] && ARMProcFamily < CortexA76) ARMProcFamily = CortexA76;
19326  if (Bits[AArch64::ProcAppleA7] && ARMProcFamily < AppleA7) ARMProcFamily = AppleA7;
19327  if (Bits[AArch64::ProcAppleA10] && ARMProcFamily < AppleA10) ARMProcFamily = AppleA10;
19328  if (Bits[AArch64::ProcAppleA11] && ARMProcFamily < AppleA11) ARMProcFamily = AppleA11;
19329  if (Bits[AArch64::ProcAppleA12] && ARMProcFamily < AppleA12) ARMProcFamily = AppleA12;
19330  if (Bits[AArch64::ProcAppleA13] && ARMProcFamily < AppleA13) ARMProcFamily = AppleA13;
19331  if (Bits[AArch64::ProcExynosM3] && ARMProcFamily < ExynosM3) ARMProcFamily = ExynosM3;
19332  if (Bits[AArch64::ProcExynosM4] && ARMProcFamily < ExynosM3) ARMProcFamily = ExynosM3;
19333  if (Bits[AArch64::ProcFalkor] && ARMProcFamily < Falkor) ARMProcFamily = Falkor;
19334  if (Bits[AArch64::ProcKryo] && ARMProcFamily < Kryo) ARMProcFamily = Kryo;
19335  if (Bits[AArch64::ProcNeoverseE1] && ARMProcFamily < NeoverseE1) ARMProcFamily = NeoverseE1;
19336  if (Bits[AArch64::ProcNeoverseN1] && ARMProcFamily < NeoverseN1) ARMProcFamily = NeoverseN1;
19337  if (Bits[AArch64::ProcSaphira] && ARMProcFamily < Saphira) ARMProcFamily = Saphira;
19338  if (Bits[AArch64::ProcTSV110] && ARMProcFamily < TSV110) ARMProcFamily = TSV110;
19339  if (Bits[AArch64::ProcThunderX] && ARMProcFamily < ThunderX) ARMProcFamily = ThunderX;
19340  if (Bits[AArch64::ProcThunderX2T99] && ARMProcFamily < ThunderX2T99) ARMProcFamily = ThunderX2T99;
19341  if (Bits[AArch64::ProcThunderXT81] && ARMProcFamily < ThunderXT81) ARMProcFamily = ThunderXT81;
19342  if (Bits[AArch64::ProcThunderXT83] && ARMProcFamily < ThunderXT83) ARMProcFamily = ThunderXT83;
19343  if (Bits[AArch64::ProcThunderXT88] && ARMProcFamily < ThunderXT88) ARMProcFamily = ThunderXT88;
19344}
19345#endif // GET_SUBTARGETINFO_TARGET_DESC
19346
19347
19348#ifdef GET_SUBTARGETINFO_HEADER
19349#undef GET_SUBTARGETINFO_HEADER
19350
19351namespace llvm {
19352class DFAPacketizer;
19353namespace AArch64_MC {
19354unsigned resolveVariantSchedClassImpl(unsigned SchedClass, const MCInst *MI, unsigned CPUID);
19355} // end namespace AArch64_MC
19356
19357struct AArch64GenSubtargetInfo : public TargetSubtargetInfo {
19358  explicit AArch64GenSubtargetInfo(const Triple &TT, StringRef CPU, StringRef FS);
19359public:
19360  unsigned resolveSchedClass(unsigned SchedClass,  const MachineInstr *DefMI, const TargetSchedModel *SchedModel) const override;
19361  unsigned resolveVariantSchedClass(unsigned SchedClass, const MCInst *MI, unsigned CPUID) const override;
19362  DFAPacketizer *createDFAPacketizer(const InstrItineraryData *IID) const;
19363};
19364} // end namespace llvm
19365
19366#endif // GET_SUBTARGETINFO_HEADER
19367
19368
19369#ifdef GET_SUBTARGETINFO_CTOR
19370#undef GET_SUBTARGETINFO_CTOR
19371
19372#include "llvm/CodeGen/TargetSchedule.h"
19373
19374namespace llvm {
19375extern const llvm::SubtargetFeatureKV AArch64FeatureKV[];
19376extern const llvm::SubtargetSubTypeKV AArch64SubTypeKV[];
19377extern const llvm::MCWriteProcResEntry AArch64WriteProcResTable[];
19378extern const llvm::MCWriteLatencyEntry AArch64WriteLatencyTable[];
19379extern const llvm::MCReadAdvanceEntry AArch64ReadAdvanceTable[];
19380AArch64GenSubtargetInfo::AArch64GenSubtargetInfo(const Triple &TT, StringRef CPU, StringRef FS)
19381  : TargetSubtargetInfo(TT, CPU, FS, makeArrayRef(AArch64FeatureKV, 156), makeArrayRef(AArch64SubTypeKV, 37),
19382                        AArch64WriteProcResTable, AArch64WriteLatencyTable, AArch64ReadAdvanceTable,
19383                        nullptr, nullptr, nullptr) {}
19384
19385unsigned AArch64GenSubtargetInfo
19386::resolveSchedClass(unsigned SchedClass, const MachineInstr *MI, const TargetSchedModel *SchedModel) const {
19387
19388  const AArch64InstrInfo *TII =
19389    static_cast<const AArch64InstrInfo*>(SchedModel->getInstrInfo());
19390  (void)TII;
19391
19392  switch (SchedClass) {
19393  case 4: // WriteISReg_ReadI_ReadISReg
19394    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
19395      if (AArch64InstrInfo::hasShiftedReg(*MI))
19396        return 1015; // WriteISReg_ReadI_A53ReadShifted
19397      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
19398    }
19399    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
19400      if (AArch64InstrInfo::hasShiftedReg(*MI))
19401        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
19402      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
19403    }
19404    break;
19405  case 5: // WriteIEReg_ReadI_ReadIEReg
19406    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
19407      if (AArch64InstrInfo::hasExtendedReg(*MI))
19408        return 1019; // WriteIEReg_ReadI_A53ReadShifted
19409      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
19410    }
19411    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
19412      if (AArch64InstrInfo::hasExtendedReg(*MI))
19413        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
19414      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
19415    }
19416    break;
19417  case 14: // WriteExtr_ReadExtrHi
19418    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
19419      if ((
19420            (
19421              MI->getOpcode() == AArch64::EXTRWrri
19422              || MI->getOpcode() == AArch64::EXTRXrri
19423            )
19424            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
19425          ))
19426        return 1023; // M3WriteA1_ReadExtrHi
19427      return 1024; // M3WriteAA_ReadExtrHi
19428    }
19429    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
19430      if ((
19431            (
19432              MI->getOpcode() == AArch64::EXTRWrri
19433              || MI->getOpcode() == AArch64::EXTRXrri
19434            )
19435            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
19436          ))
19437        return 1025; // M4WriteA1_ReadExtrHi
19438      return 1026; // M4WriteAF_ReadExtrHi
19439    }
19440    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
19441      if ((
19442            (
19443              MI->getOpcode() == AArch64::EXTRWrri
19444              || MI->getOpcode() == AArch64::EXTRXrri
19445            )
19446            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
19447          ))
19448        return 1027; // M5WriteA1W_ReadExtrHi
19449      return 1028; // M5WriteAFW_ReadExtrHi
19450    }
19451    break;
19452  case 28: // WriteLDIdx_ReadAdrBase
19453    if (SchedModel->getProcessorID() == 1) { // CycloneModel
19454      if (AArch64InstrInfo::isScaledAddr(*MI))
19455        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
19456      return 1030; // WriteLD_ReadDefault
19457    }
19458    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
19459      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
19460          && (
19461            AArch64InstrInfo::isScaledAddr(*MI)
19462            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19463          ))
19464        return 1031; // M4WriteL5_ReadDefault
19465      if ((
19466            AArch64InstrInfo::isScaledAddr(*MI)
19467            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19468          ))
19469        return 1032; // M4WriteL4_ReadDefault
19470      return 1032; // M4WriteL4_ReadDefault
19471    }
19472    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
19473      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
19474          && (
19475            AArch64InstrInfo::isScaledAddr(*MI)
19476            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19477          ))
19478        return 1033; // M5WriteL5_ReadDefault
19479      if ((
19480            AArch64InstrInfo::isScaledAddr(*MI)
19481            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19482          ))
19483        return 1034; // M5WriteL4_ReadDefault
19484      return 1034; // M5WriteL4_ReadDefault
19485    }
19486    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
19487      if (AArch64InstrInfo::isScaledAddr(*MI))
19488        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
19489      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
19490    }
19491    break;
19492  case 41: // WriteSTIdx_ReadAdrBase
19493    if (SchedModel->getProcessorID() == 1) { // CycloneModel
19494      if (AArch64InstrInfo::isScaledAddr(*MI))
19495        return 1037; // (WriteIS_WriteST)_ReadBaseRS
19496      return 1038; // WriteST_ReadDefault
19497    }
19498    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
19499      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
19500          && (
19501            AArch64InstrInfo::isScaledAddr(*MI)
19502            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19503          ))
19504        return 1039; // M4WriteSB_ReadDefault
19505      if ((
19506            AArch64InstrInfo::isScaledAddr(*MI)
19507            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19508          ))
19509        return 1040; // M4WriteS1_ReadDefault
19510      return 1040; // M4WriteS1_ReadDefault
19511    }
19512    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
19513      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
19514          && (
19515            AArch64InstrInfo::isScaledAddr(*MI)
19516            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19517          ))
19518        return 1041; // M5WriteSB_ReadDefault
19519      if ((
19520            AArch64InstrInfo::isScaledAddr(*MI)
19521            || AArch64InstrInfo::isExynosScaledAddr(*MI)
19522          ))
19523        return 1042; // M5WriteS1_ReadDefault
19524      return 1042; // M5WriteS1_ReadDefault
19525    }
19526    break;
19527  case 43: // COPY
19528    if (SchedModel->getProcessorID() == 1) { // CycloneModel
19529      if (TII->isGPRCopy(*MI))
19530        return 1043; // WriteX
19531      if (TII->isFPRCopy(*MI))
19532        return 1043; // WriteX
19533      return 1044; // WriteI
19534    }
19535    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
19536      if ((
19537            (
19538              MI->getOperand(0).isReg()
19539              && (
19540                MI->getOperand(0).getReg() == AArch64::H0
19541                || MI->getOperand(0).getReg() == AArch64::H1
19542                || MI->getOperand(0).getReg() == AArch64::H2
19543                || MI->getOperand(0).getReg() == AArch64::H3
19544                || MI->getOperand(0).getReg() == AArch64::H4
19545                || MI->getOperand(0).getReg() == AArch64::H5
19546                || MI->getOperand(0).getReg() == AArch64::H6
19547                || MI->getOperand(0).getReg() == AArch64::H7
19548                || MI->getOperand(0).getReg() == AArch64::H8
19549                || MI->getOperand(0).getReg() == AArch64::H9
19550                || MI->getOperand(0).getReg() == AArch64::H10
19551                || MI->getOperand(0).getReg() == AArch64::H11
19552                || MI->getOperand(0).getReg() == AArch64::H12
19553                || MI->getOperand(0).getReg() == AArch64::H13
19554                || MI->getOperand(0).getReg() == AArch64::H14
19555                || MI->getOperand(0).getReg() == AArch64::H15
19556                || MI->getOperand(0).getReg() == AArch64::H16
19557                || MI->getOperand(0).getReg() == AArch64::H17
19558                || MI->getOperand(0).getReg() == AArch64::H18
19559                || MI->getOperand(0).getReg() == AArch64::H19
19560                || MI->getOperand(0).getReg() == AArch64::H20
19561                || MI->getOperand(0).getReg() == AArch64::H21
19562                || MI->getOperand(0).getReg() == AArch64::H22
19563                || MI->getOperand(0).getReg() == AArch64::H23
19564                || MI->getOperand(0).getReg() == AArch64::H24
19565                || MI->getOperand(0).getReg() == AArch64::H25
19566                || MI->getOperand(0).getReg() == AArch64::H26
19567                || MI->getOperand(0).getReg() == AArch64::H27
19568                || MI->getOperand(0).getReg() == AArch64::H28
19569                || MI->getOperand(0).getReg() == AArch64::H29
19570                || MI->getOperand(0).getReg() == AArch64::H30
19571                || MI->getOperand(0).getReg() == AArch64::H31
19572              )
19573            )
19574            || (
19575              MI->getOperand(0).isReg()
19576              && (
19577                MI->getOperand(0).getReg() == AArch64::S0
19578                || MI->getOperand(0).getReg() == AArch64::S1
19579                || MI->getOperand(0).getReg() == AArch64::S2
19580                || MI->getOperand(0).getReg() == AArch64::S3
19581                || MI->getOperand(0).getReg() == AArch64::S4
19582                || MI->getOperand(0).getReg() == AArch64::S5
19583                || MI->getOperand(0).getReg() == AArch64::S6
19584                || MI->getOperand(0).getReg() == AArch64::S7
19585                || MI->getOperand(0).getReg() == AArch64::S8
19586                || MI->getOperand(0).getReg() == AArch64::S9
19587                || MI->getOperand(0).getReg() == AArch64::S10
19588                || MI->getOperand(0).getReg() == AArch64::S11
19589                || MI->getOperand(0).getReg() == AArch64::S12
19590                || MI->getOperand(0).getReg() == AArch64::S13
19591                || MI->getOperand(0).getReg() == AArch64::S14
19592                || MI->getOperand(0).getReg() == AArch64::S15
19593                || MI->getOperand(0).getReg() == AArch64::S16
19594                || MI->getOperand(0).getReg() == AArch64::S17
19595                || MI->getOperand(0).getReg() == AArch64::S18
19596                || MI->getOperand(0).getReg() == AArch64::S19
19597                || MI->getOperand(0).getReg() == AArch64::S20
19598                || MI->getOperand(0).getReg() == AArch64::S21
19599                || MI->getOperand(0).getReg() == AArch64::S22
19600                || MI->getOperand(0).getReg() == AArch64::S23
19601                || MI->getOperand(0).getReg() == AArch64::S24
19602                || MI->getOperand(0).getReg() == AArch64::S25
19603                || MI->getOperand(0).getReg() == AArch64::S26
19604                || MI->getOperand(0).getReg() == AArch64::S27
19605                || MI->getOperand(0).getReg() == AArch64::S28
19606                || MI->getOperand(0).getReg() == AArch64::S29
19607                || MI->getOperand(0).getReg() == AArch64::S30
19608                || MI->getOperand(0).getReg() == AArch64::S31
19609              )
19610            )
19611            || (
19612              MI->getOperand(0).isReg()
19613              && (
19614                MI->getOperand(0).getReg() == AArch64::D0
19615                || MI->getOperand(0).getReg() == AArch64::D1
19616                || MI->getOperand(0).getReg() == AArch64::D2
19617                || MI->getOperand(0).getReg() == AArch64::D3
19618                || MI->getOperand(0).getReg() == AArch64::D4
19619                || MI->getOperand(0).getReg() == AArch64::D5
19620                || MI->getOperand(0).getReg() == AArch64::D6
19621                || MI->getOperand(0).getReg() == AArch64::D7
19622                || MI->getOperand(0).getReg() == AArch64::D8
19623                || MI->getOperand(0).getReg() == AArch64::D9
19624                || MI->getOperand(0).getReg() == AArch64::D10
19625                || MI->getOperand(0).getReg() == AArch64::D11
19626                || MI->getOperand(0).getReg() == AArch64::D12
19627                || MI->getOperand(0).getReg() == AArch64::D13
19628                || MI->getOperand(0).getReg() == AArch64::D14
19629                || MI->getOperand(0).getReg() == AArch64::D15
19630                || MI->getOperand(0).getReg() == AArch64::D16
19631                || MI->getOperand(0).getReg() == AArch64::D17
19632                || MI->getOperand(0).getReg() == AArch64::D18
19633                || MI->getOperand(0).getReg() == AArch64::D19
19634                || MI->getOperand(0).getReg() == AArch64::D20
19635                || MI->getOperand(0).getReg() == AArch64::D21
19636                || MI->getOperand(0).getReg() == AArch64::D22
19637                || MI->getOperand(0).getReg() == AArch64::D23
19638                || MI->getOperand(0).getReg() == AArch64::D24
19639                || MI->getOperand(0).getReg() == AArch64::D25
19640                || MI->getOperand(0).getReg() == AArch64::D26
19641                || MI->getOperand(0).getReg() == AArch64::D27
19642                || MI->getOperand(0).getReg() == AArch64::D28
19643                || MI->getOperand(0).getReg() == AArch64::D29
19644                || MI->getOperand(0).getReg() == AArch64::D30
19645                || MI->getOperand(0).getReg() == AArch64::D31
19646              )
19647            )
19648            || (
19649              MI->getOperand(0).isReg()
19650              && (
19651                MI->getOperand(0).getReg() == AArch64::Q0
19652                || MI->getOperand(0).getReg() == AArch64::Q1
19653                || MI->getOperand(0).getReg() == AArch64::Q2
19654                || MI->getOperand(0).getReg() == AArch64::Q3
19655                || MI->getOperand(0).getReg() == AArch64::Q4
19656                || MI->getOperand(0).getReg() == AArch64::Q5
19657                || MI->getOperand(0).getReg() == AArch64::Q6
19658                || MI->getOperand(0).getReg() == AArch64::Q7
19659                || MI->getOperand(0).getReg() == AArch64::Q8
19660                || MI->getOperand(0).getReg() == AArch64::Q9
19661                || MI->getOperand(0).getReg() == AArch64::Q10
19662                || MI->getOperand(0).getReg() == AArch64::Q11
19663                || MI->getOperand(0).getReg() == AArch64::Q12
19664                || MI->getOperand(0).getReg() == AArch64::Q13
19665                || MI->getOperand(0).getReg() == AArch64::Q14
19666                || MI->getOperand(0).getReg() == AArch64::Q15
19667                || MI->getOperand(0).getReg() == AArch64::Q16
19668                || MI->getOperand(0).getReg() == AArch64::Q17
19669                || MI->getOperand(0).getReg() == AArch64::Q18
19670                || MI->getOperand(0).getReg() == AArch64::Q19
19671                || MI->getOperand(0).getReg() == AArch64::Q20
19672                || MI->getOperand(0).getReg() == AArch64::Q21
19673                || MI->getOperand(0).getReg() == AArch64::Q22
19674                || MI->getOperand(0).getReg() == AArch64::Q23
19675                || MI->getOperand(0).getReg() == AArch64::Q24
19676                || MI->getOperand(0).getReg() == AArch64::Q25
19677                || MI->getOperand(0).getReg() == AArch64::Q26
19678                || MI->getOperand(0).getReg() == AArch64::Q27
19679                || MI->getOperand(0).getReg() == AArch64::Q28
19680                || MI->getOperand(0).getReg() == AArch64::Q29
19681                || MI->getOperand(0).getReg() == AArch64::Q30
19682                || MI->getOperand(0).getReg() == AArch64::Q31
19683              )
19684            )
19685          ))
19686        return 1045; // M3WriteNALU1
19687      return 1046; // M3WriteZ0
19688    }
19689    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
19690      if ((
19691            (
19692              MI->getOperand(0).isReg()
19693              && (
19694                MI->getOperand(0).getReg() == AArch64::H0
19695                || MI->getOperand(0).getReg() == AArch64::H1
19696                || MI->getOperand(0).getReg() == AArch64::H2
19697                || MI->getOperand(0).getReg() == AArch64::H3
19698                || MI->getOperand(0).getReg() == AArch64::H4
19699                || MI->getOperand(0).getReg() == AArch64::H5
19700                || MI->getOperand(0).getReg() == AArch64::H6
19701                || MI->getOperand(0).getReg() == AArch64::H7
19702                || MI->getOperand(0).getReg() == AArch64::H8
19703                || MI->getOperand(0).getReg() == AArch64::H9
19704                || MI->getOperand(0).getReg() == AArch64::H10
19705                || MI->getOperand(0).getReg() == AArch64::H11
19706                || MI->getOperand(0).getReg() == AArch64::H12
19707                || MI->getOperand(0).getReg() == AArch64::H13
19708                || MI->getOperand(0).getReg() == AArch64::H14
19709                || MI->getOperand(0).getReg() == AArch64::H15
19710                || MI->getOperand(0).getReg() == AArch64::H16
19711                || MI->getOperand(0).getReg() == AArch64::H17
19712                || MI->getOperand(0).getReg() == AArch64::H18
19713                || MI->getOperand(0).getReg() == AArch64::H19
19714                || MI->getOperand(0).getReg() == AArch64::H20
19715                || MI->getOperand(0).getReg() == AArch64::H21
19716                || MI->getOperand(0).getReg() == AArch64::H22
19717                || MI->getOperand(0).getReg() == AArch64::H23
19718                || MI->getOperand(0).getReg() == AArch64::H24
19719                || MI->getOperand(0).getReg() == AArch64::H25
19720                || MI->getOperand(0).getReg() == AArch64::H26
19721                || MI->getOperand(0).getReg() == AArch64::H27
19722                || MI->getOperand(0).getReg() == AArch64::H28
19723                || MI->getOperand(0).getReg() == AArch64::H29
19724                || MI->getOperand(0).getReg() == AArch64::H30
19725                || MI->getOperand(0).getReg() == AArch64::H31
19726              )
19727            )
19728            || (
19729              MI->getOperand(0).isReg()
19730              && (
19731                MI->getOperand(0).getReg() == AArch64::S0
19732                || MI->getOperand(0).getReg() == AArch64::S1
19733                || MI->getOperand(0).getReg() == AArch64::S2
19734                || MI->getOperand(0).getReg() == AArch64::S3
19735                || MI->getOperand(0).getReg() == AArch64::S4
19736                || MI->getOperand(0).getReg() == AArch64::S5
19737                || MI->getOperand(0).getReg() == AArch64::S6
19738                || MI->getOperand(0).getReg() == AArch64::S7
19739                || MI->getOperand(0).getReg() == AArch64::S8
19740                || MI->getOperand(0).getReg() == AArch64::S9
19741                || MI->getOperand(0).getReg() == AArch64::S10
19742                || MI->getOperand(0).getReg() == AArch64::S11
19743                || MI->getOperand(0).getReg() == AArch64::S12
19744                || MI->getOperand(0).getReg() == AArch64::S13
19745                || MI->getOperand(0).getReg() == AArch64::S14
19746                || MI->getOperand(0).getReg() == AArch64::S15
19747                || MI->getOperand(0).getReg() == AArch64::S16
19748                || MI->getOperand(0).getReg() == AArch64::S17
19749                || MI->getOperand(0).getReg() == AArch64::S18
19750                || MI->getOperand(0).getReg() == AArch64::S19
19751                || MI->getOperand(0).getReg() == AArch64::S20
19752                || MI->getOperand(0).getReg() == AArch64::S21
19753                || MI->getOperand(0).getReg() == AArch64::S22
19754                || MI->getOperand(0).getReg() == AArch64::S23
19755                || MI->getOperand(0).getReg() == AArch64::S24
19756                || MI->getOperand(0).getReg() == AArch64::S25
19757                || MI->getOperand(0).getReg() == AArch64::S26
19758                || MI->getOperand(0).getReg() == AArch64::S27
19759                || MI->getOperand(0).getReg() == AArch64::S28
19760                || MI->getOperand(0).getReg() == AArch64::S29
19761                || MI->getOperand(0).getReg() == AArch64::S30
19762                || MI->getOperand(0).getReg() == AArch64::S31
19763              )
19764            )
19765            || (
19766              MI->getOperand(0).isReg()
19767              && (
19768                MI->getOperand(0).getReg() == AArch64::D0
19769                || MI->getOperand(0).getReg() == AArch64::D1
19770                || MI->getOperand(0).getReg() == AArch64::D2
19771                || MI->getOperand(0).getReg() == AArch64::D3
19772                || MI->getOperand(0).getReg() == AArch64::D4
19773                || MI->getOperand(0).getReg() == AArch64::D5
19774                || MI->getOperand(0).getReg() == AArch64::D6
19775                || MI->getOperand(0).getReg() == AArch64::D7
19776                || MI->getOperand(0).getReg() == AArch64::D8
19777                || MI->getOperand(0).getReg() == AArch64::D9
19778                || MI->getOperand(0).getReg() == AArch64::D10
19779                || MI->getOperand(0).getReg() == AArch64::D11
19780                || MI->getOperand(0).getReg() == AArch64::D12
19781                || MI->getOperand(0).getReg() == AArch64::D13
19782                || MI->getOperand(0).getReg() == AArch64::D14
19783                || MI->getOperand(0).getReg() == AArch64::D15
19784                || MI->getOperand(0).getReg() == AArch64::D16
19785                || MI->getOperand(0).getReg() == AArch64::D17
19786                || MI->getOperand(0).getReg() == AArch64::D18
19787                || MI->getOperand(0).getReg() == AArch64::D19
19788                || MI->getOperand(0).getReg() == AArch64::D20
19789                || MI->getOperand(0).getReg() == AArch64::D21
19790                || MI->getOperand(0).getReg() == AArch64::D22
19791                || MI->getOperand(0).getReg() == AArch64::D23
19792                || MI->getOperand(0).getReg() == AArch64::D24
19793                || MI->getOperand(0).getReg() == AArch64::D25
19794                || MI->getOperand(0).getReg() == AArch64::D26
19795                || MI->getOperand(0).getReg() == AArch64::D27
19796                || MI->getOperand(0).getReg() == AArch64::D28
19797                || MI->getOperand(0).getReg() == AArch64::D29
19798                || MI->getOperand(0).getReg() == AArch64::D30
19799                || MI->getOperand(0).getReg() == AArch64::D31
19800              )
19801            )
19802            || (
19803              MI->getOperand(0).isReg()
19804              && (
19805                MI->getOperand(0).getReg() == AArch64::Q0
19806                || MI->getOperand(0).getReg() == AArch64::Q1
19807                || MI->getOperand(0).getReg() == AArch64::Q2
19808                || MI->getOperand(0).getReg() == AArch64::Q3
19809                || MI->getOperand(0).getReg() == AArch64::Q4
19810                || MI->getOperand(0).getReg() == AArch64::Q5
19811                || MI->getOperand(0).getReg() == AArch64::Q6
19812                || MI->getOperand(0).getReg() == AArch64::Q7
19813                || MI->getOperand(0).getReg() == AArch64::Q8
19814                || MI->getOperand(0).getReg() == AArch64::Q9
19815                || MI->getOperand(0).getReg() == AArch64::Q10
19816                || MI->getOperand(0).getReg() == AArch64::Q11
19817                || MI->getOperand(0).getReg() == AArch64::Q12
19818                || MI->getOperand(0).getReg() == AArch64::Q13
19819                || MI->getOperand(0).getReg() == AArch64::Q14
19820                || MI->getOperand(0).getReg() == AArch64::Q15
19821                || MI->getOperand(0).getReg() == AArch64::Q16
19822                || MI->getOperand(0).getReg() == AArch64::Q17
19823                || MI->getOperand(0).getReg() == AArch64::Q18
19824                || MI->getOperand(0).getReg() == AArch64::Q19
19825                || MI->getOperand(0).getReg() == AArch64::Q20
19826                || MI->getOperand(0).getReg() == AArch64::Q21
19827                || MI->getOperand(0).getReg() == AArch64::Q22
19828                || MI->getOperand(0).getReg() == AArch64::Q23
19829                || MI->getOperand(0).getReg() == AArch64::Q24
19830                || MI->getOperand(0).getReg() == AArch64::Q25
19831                || MI->getOperand(0).getReg() == AArch64::Q26
19832                || MI->getOperand(0).getReg() == AArch64::Q27
19833                || MI->getOperand(0).getReg() == AArch64::Q28
19834                || MI->getOperand(0).getReg() == AArch64::Q29
19835                || MI->getOperand(0).getReg() == AArch64::Q30
19836                || MI->getOperand(0).getReg() == AArch64::Q31
19837              )
19838            )
19839          ))
19840        return 1047; // M4WriteNALU1
19841      return 1048; // M4WriteZ0
19842    }
19843    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
19844      if ((
19845            (
19846              MI->getOperand(0).isReg()
19847              && (
19848                MI->getOperand(0).getReg() == AArch64::H0
19849                || MI->getOperand(0).getReg() == AArch64::H1
19850                || MI->getOperand(0).getReg() == AArch64::H2
19851                || MI->getOperand(0).getReg() == AArch64::H3
19852                || MI->getOperand(0).getReg() == AArch64::H4
19853                || MI->getOperand(0).getReg() == AArch64::H5
19854                || MI->getOperand(0).getReg() == AArch64::H6
19855                || MI->getOperand(0).getReg() == AArch64::H7
19856                || MI->getOperand(0).getReg() == AArch64::H8
19857                || MI->getOperand(0).getReg() == AArch64::H9
19858                || MI->getOperand(0).getReg() == AArch64::H10
19859                || MI->getOperand(0).getReg() == AArch64::H11
19860                || MI->getOperand(0).getReg() == AArch64::H12
19861                || MI->getOperand(0).getReg() == AArch64::H13
19862                || MI->getOperand(0).getReg() == AArch64::H14
19863                || MI->getOperand(0).getReg() == AArch64::H15
19864                || MI->getOperand(0).getReg() == AArch64::H16
19865                || MI->getOperand(0).getReg() == AArch64::H17
19866                || MI->getOperand(0).getReg() == AArch64::H18
19867                || MI->getOperand(0).getReg() == AArch64::H19
19868                || MI->getOperand(0).getReg() == AArch64::H20
19869                || MI->getOperand(0).getReg() == AArch64::H21
19870                || MI->getOperand(0).getReg() == AArch64::H22
19871                || MI->getOperand(0).getReg() == AArch64::H23
19872                || MI->getOperand(0).getReg() == AArch64::H24
19873                || MI->getOperand(0).getReg() == AArch64::H25
19874                || MI->getOperand(0).getReg() == AArch64::H26
19875                || MI->getOperand(0).getReg() == AArch64::H27
19876                || MI->getOperand(0).getReg() == AArch64::H28
19877                || MI->getOperand(0).getReg() == AArch64::H29
19878                || MI->getOperand(0).getReg() == AArch64::H30
19879                || MI->getOperand(0).getReg() == AArch64::H31
19880              )
19881            )
19882            || (
19883              MI->getOperand(0).isReg()
19884              && (
19885                MI->getOperand(0).getReg() == AArch64::S0
19886                || MI->getOperand(0).getReg() == AArch64::S1
19887                || MI->getOperand(0).getReg() == AArch64::S2
19888                || MI->getOperand(0).getReg() == AArch64::S3
19889                || MI->getOperand(0).getReg() == AArch64::S4
19890                || MI->getOperand(0).getReg() == AArch64::S5
19891                || MI->getOperand(0).getReg() == AArch64::S6
19892                || MI->getOperand(0).getReg() == AArch64::S7
19893                || MI->getOperand(0).getReg() == AArch64::S8
19894                || MI->getOperand(0).getReg() == AArch64::S9
19895                || MI->getOperand(0).getReg() == AArch64::S10
19896                || MI->getOperand(0).getReg() == AArch64::S11
19897                || MI->getOperand(0).getReg() == AArch64::S12
19898                || MI->getOperand(0).getReg() == AArch64::S13
19899                || MI->getOperand(0).getReg() == AArch64::S14
19900                || MI->getOperand(0).getReg() == AArch64::S15
19901                || MI->getOperand(0).getReg() == AArch64::S16
19902                || MI->getOperand(0).getReg() == AArch64::S17
19903                || MI->getOperand(0).getReg() == AArch64::S18
19904                || MI->getOperand(0).getReg() == AArch64::S19
19905                || MI->getOperand(0).getReg() == AArch64::S20
19906                || MI->getOperand(0).getReg() == AArch64::S21
19907                || MI->getOperand(0).getReg() == AArch64::S22
19908                || MI->getOperand(0).getReg() == AArch64::S23
19909                || MI->getOperand(0).getReg() == AArch64::S24
19910                || MI->getOperand(0).getReg() == AArch64::S25
19911                || MI->getOperand(0).getReg() == AArch64::S26
19912                || MI->getOperand(0).getReg() == AArch64::S27
19913                || MI->getOperand(0).getReg() == AArch64::S28
19914                || MI->getOperand(0).getReg() == AArch64::S29
19915                || MI->getOperand(0).getReg() == AArch64::S30
19916                || MI->getOperand(0).getReg() == AArch64::S31
19917              )
19918            )
19919            || (
19920              MI->getOperand(0).isReg()
19921              && (
19922                MI->getOperand(0).getReg() == AArch64::D0
19923                || MI->getOperand(0).getReg() == AArch64::D1
19924                || MI->getOperand(0).getReg() == AArch64::D2
19925                || MI->getOperand(0).getReg() == AArch64::D3
19926                || MI->getOperand(0).getReg() == AArch64::D4
19927                || MI->getOperand(0).getReg() == AArch64::D5
19928                || MI->getOperand(0).getReg() == AArch64::D6
19929                || MI->getOperand(0).getReg() == AArch64::D7
19930                || MI->getOperand(0).getReg() == AArch64::D8
19931                || MI->getOperand(0).getReg() == AArch64::D9
19932                || MI->getOperand(0).getReg() == AArch64::D10
19933                || MI->getOperand(0).getReg() == AArch64::D11
19934                || MI->getOperand(0).getReg() == AArch64::D12
19935                || MI->getOperand(0).getReg() == AArch64::D13
19936                || MI->getOperand(0).getReg() == AArch64::D14
19937                || MI->getOperand(0).getReg() == AArch64::D15
19938                || MI->getOperand(0).getReg() == AArch64::D16
19939                || MI->getOperand(0).getReg() == AArch64::D17
19940                || MI->getOperand(0).getReg() == AArch64::D18
19941                || MI->getOperand(0).getReg() == AArch64::D19
19942                || MI->getOperand(0).getReg() == AArch64::D20
19943                || MI->getOperand(0).getReg() == AArch64::D21
19944                || MI->getOperand(0).getReg() == AArch64::D22
19945                || MI->getOperand(0).getReg() == AArch64::D23
19946                || MI->getOperand(0).getReg() == AArch64::D24
19947                || MI->getOperand(0).getReg() == AArch64::D25
19948                || MI->getOperand(0).getReg() == AArch64::D26
19949                || MI->getOperand(0).getReg() == AArch64::D27
19950                || MI->getOperand(0).getReg() == AArch64::D28
19951                || MI->getOperand(0).getReg() == AArch64::D29
19952                || MI->getOperand(0).getReg() == AArch64::D30
19953                || MI->getOperand(0).getReg() == AArch64::D31
19954              )
19955            )
19956            || (
19957              MI->getOperand(0).isReg()
19958              && (
19959                MI->getOperand(0).getReg() == AArch64::Q0
19960                || MI->getOperand(0).getReg() == AArch64::Q1
19961                || MI->getOperand(0).getReg() == AArch64::Q2
19962                || MI->getOperand(0).getReg() == AArch64::Q3
19963                || MI->getOperand(0).getReg() == AArch64::Q4
19964                || MI->getOperand(0).getReg() == AArch64::Q5
19965                || MI->getOperand(0).getReg() == AArch64::Q6
19966                || MI->getOperand(0).getReg() == AArch64::Q7
19967                || MI->getOperand(0).getReg() == AArch64::Q8
19968                || MI->getOperand(0).getReg() == AArch64::Q9
19969                || MI->getOperand(0).getReg() == AArch64::Q10
19970                || MI->getOperand(0).getReg() == AArch64::Q11
19971                || MI->getOperand(0).getReg() == AArch64::Q12
19972                || MI->getOperand(0).getReg() == AArch64::Q13
19973                || MI->getOperand(0).getReg() == AArch64::Q14
19974                || MI->getOperand(0).getReg() == AArch64::Q15
19975                || MI->getOperand(0).getReg() == AArch64::Q16
19976                || MI->getOperand(0).getReg() == AArch64::Q17
19977                || MI->getOperand(0).getReg() == AArch64::Q18
19978                || MI->getOperand(0).getReg() == AArch64::Q19
19979                || MI->getOperand(0).getReg() == AArch64::Q20
19980                || MI->getOperand(0).getReg() == AArch64::Q21
19981                || MI->getOperand(0).getReg() == AArch64::Q22
19982                || MI->getOperand(0).getReg() == AArch64::Q23
19983                || MI->getOperand(0).getReg() == AArch64::Q24
19984                || MI->getOperand(0).getReg() == AArch64::Q25
19985                || MI->getOperand(0).getReg() == AArch64::Q26
19986                || MI->getOperand(0).getReg() == AArch64::Q27
19987                || MI->getOperand(0).getReg() == AArch64::Q28
19988                || MI->getOperand(0).getReg() == AArch64::Q29
19989                || MI->getOperand(0).getReg() == AArch64::Q30
19990                || MI->getOperand(0).getReg() == AArch64::Q31
19991              )
19992            )
19993          ))
19994        return 1049; // M5WriteNALU2
19995      return 1050; // M5WriteZ0
19996    }
19997    break;
19998  case 118: // BLR
19999    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20000      if ((
20001            ( MI->getOpcode() == AArch64::BLR )
20002            && MI->getOperand(0).getReg() == AArch64::LR
20003          ))
20004        return 1051; // M3WriteAC
20005      return 1052; // M3WriteAB
20006    }
20007    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20008      if ((
20009            ( MI->getOpcode() == AArch64::BLR )
20010            && MI->getOperand(0).getReg() == AArch64::LR
20011          ))
20012        return 1053; // M4WriteAC
20013      return 1054; // M4WriteAB
20014    }
20015    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20016      if ((
20017            ( MI->getOpcode() == AArch64::BLR )
20018            && MI->getOperand(0).getReg() == AArch64::LR
20019          ))
20020        return 1055; // M5WriteAC
20021      return 1056; // M5WriteAB
20022    }
20023    break;
20024  case 119: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs
20025    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
20026      if (AArch64InstrInfo::hasShiftedReg(*MI))
20027        return 1015; // WriteISReg_ReadI_A53ReadShifted
20028      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
20029    }
20030    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
20031      if (AArch64InstrInfo::hasShiftedReg(*MI))
20032        return 1057; // WriteISReg
20033      return 1044; // WriteI
20034    }
20035    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20036      if (AArch64InstrInfo::isExynosArithFast(*MI))
20037        return 1060; // M3WriteA1
20038      if (AArch64InstrInfo::isExynosLogicFast(*MI))
20039        return 1060; // M3WriteA1
20040      return 1061; // M3WriteAA
20041    }
20042    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20043      if (AArch64InstrInfo::isExynosArithFast(*MI))
20044        return 1062; // M4WriteA1
20045      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
20046        return 1062; // M4WriteA1
20047      return 1063; // M4WriteAA
20048    }
20049    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20050      if (AArch64InstrInfo::isExynosArithFast(*MI))
20051        return 1064; // M5WriteA1X
20052      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
20053        return 1064; // M5WriteA1X
20054      return 1065; // M5WriteAAX
20055    }
20056    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20057      if (TII->isFalkorShiftExtFast(*MI))
20058        return 1058; // FalkorWr_1XYZ_1cyc
20059      return 1059; // FalkorWr_2XYZ_2cyc
20060    }
20061    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
20062      if (AArch64InstrInfo::hasShiftedReg(*MI))
20063        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
20064      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
20065    }
20066    break;
20067  case 121: // EXTRWrri
20068    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20069      if ((
20070            (
20071              MI->getOpcode() == AArch64::EXTRWrri
20072              || MI->getOpcode() == AArch64::EXTRXrri
20073            )
20074            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20075          ))
20076        return 1023; // M3WriteA1_ReadExtrHi
20077      return 1024; // M3WriteAA_ReadExtrHi
20078    }
20079    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20080      if ((
20081            (
20082              MI->getOpcode() == AArch64::EXTRWrri
20083              || MI->getOpcode() == AArch64::EXTRXrri
20084            )
20085            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20086          ))
20087        return 1025; // M4WriteA1_ReadExtrHi
20088      return 1026; // M4WriteAF_ReadExtrHi
20089    }
20090    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20091      if ((
20092            (
20093              MI->getOpcode() == AArch64::EXTRWrri
20094              || MI->getOpcode() == AArch64::EXTRXrri
20095            )
20096            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20097          ))
20098        return 1066; // M5WriteA1W
20099      return 1067; // M5WriteAFW
20100      if ((
20101            (
20102              MI->getOpcode() == AArch64::EXTRWrri
20103              || MI->getOpcode() == AArch64::EXTRXrri
20104            )
20105            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20106          ))
20107        return 1027; // M5WriteA1W_ReadExtrHi
20108      return 1028; // M5WriteAFW_ReadExtrHi
20109    }
20110    break;
20111  case 122: // EXTRXrri
20112    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20113      if ((
20114            (
20115              MI->getOpcode() == AArch64::EXTRWrri
20116              || MI->getOpcode() == AArch64::EXTRXrri
20117            )
20118            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20119          ))
20120        return 1023; // M3WriteA1_ReadExtrHi
20121      return 1024; // M3WriteAA_ReadExtrHi
20122    }
20123    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20124      if ((
20125            (
20126              MI->getOpcode() == AArch64::EXTRWrri
20127              || MI->getOpcode() == AArch64::EXTRXrri
20128            )
20129            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20130          ))
20131        return 1025; // M4WriteA1_ReadExtrHi
20132      return 1026; // M4WriteAF_ReadExtrHi
20133    }
20134    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20135      if ((
20136            (
20137              MI->getOpcode() == AArch64::EXTRWrri
20138              || MI->getOpcode() == AArch64::EXTRXrri
20139            )
20140            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20141          ))
20142        return 1064; // M5WriteA1X
20143      return 1068; // M5WriteAFX
20144      if ((
20145            (
20146              MI->getOpcode() == AArch64::EXTRWrri
20147              || MI->getOpcode() == AArch64::EXTRXrri
20148            )
20149            && MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
20150          ))
20151        return 1027; // M5WriteA1W_ReadExtrHi
20152      return 1028; // M5WriteAFW_ReadExtrHi
20153    }
20154    break;
20155  case 133: // CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr
20156    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
20157      if (AArch64InstrInfo::hasShiftedReg(*MI))
20158        return 1015; // WriteISReg_ReadI_A53ReadShifted
20159      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
20160    }
20161    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
20162      if (AArch64InstrInfo::hasShiftedReg(*MI))
20163        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
20164      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
20165    }
20166    break;
20167  case 256: // FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v
20168    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20169      if ((
20170            MI->getOperand(0).isReg()
20171            && (
20172              MI->getOperand(0).getReg() == AArch64::Q0
20173              || MI->getOperand(0).getReg() == AArch64::Q1
20174              || MI->getOperand(0).getReg() == AArch64::Q2
20175              || MI->getOperand(0).getReg() == AArch64::Q3
20176              || MI->getOperand(0).getReg() == AArch64::Q4
20177              || MI->getOperand(0).getReg() == AArch64::Q5
20178              || MI->getOperand(0).getReg() == AArch64::Q6
20179              || MI->getOperand(0).getReg() == AArch64::Q7
20180              || MI->getOperand(0).getReg() == AArch64::Q8
20181              || MI->getOperand(0).getReg() == AArch64::Q9
20182              || MI->getOperand(0).getReg() == AArch64::Q10
20183              || MI->getOperand(0).getReg() == AArch64::Q11
20184              || MI->getOperand(0).getReg() == AArch64::Q12
20185              || MI->getOperand(0).getReg() == AArch64::Q13
20186              || MI->getOperand(0).getReg() == AArch64::Q14
20187              || MI->getOperand(0).getReg() == AArch64::Q15
20188              || MI->getOperand(0).getReg() == AArch64::Q16
20189              || MI->getOperand(0).getReg() == AArch64::Q17
20190              || MI->getOperand(0).getReg() == AArch64::Q18
20191              || MI->getOperand(0).getReg() == AArch64::Q19
20192              || MI->getOperand(0).getReg() == AArch64::Q20
20193              || MI->getOperand(0).getReg() == AArch64::Q21
20194              || MI->getOperand(0).getReg() == AArch64::Q22
20195              || MI->getOperand(0).getReg() == AArch64::Q23
20196              || MI->getOperand(0).getReg() == AArch64::Q24
20197              || MI->getOperand(0).getReg() == AArch64::Q25
20198              || MI->getOperand(0).getReg() == AArch64::Q26
20199              || MI->getOperand(0).getReg() == AArch64::Q27
20200              || MI->getOperand(0).getReg() == AArch64::Q28
20201              || MI->getOperand(0).getReg() == AArch64::Q29
20202              || MI->getOperand(0).getReg() == AArch64::Q30
20203              || MI->getOperand(0).getReg() == AArch64::Q31
20204            )
20205          ))
20206        return 1069; // M4WriteNEONO
20207      return 1070; // M4WriteNEONN
20208    }
20209    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20210      if ((
20211            MI->getOperand(0).isReg()
20212            && (
20213              MI->getOperand(0).getReg() == AArch64::Q0
20214              || MI->getOperand(0).getReg() == AArch64::Q1
20215              || MI->getOperand(0).getReg() == AArch64::Q2
20216              || MI->getOperand(0).getReg() == AArch64::Q3
20217              || MI->getOperand(0).getReg() == AArch64::Q4
20218              || MI->getOperand(0).getReg() == AArch64::Q5
20219              || MI->getOperand(0).getReg() == AArch64::Q6
20220              || MI->getOperand(0).getReg() == AArch64::Q7
20221              || MI->getOperand(0).getReg() == AArch64::Q8
20222              || MI->getOperand(0).getReg() == AArch64::Q9
20223              || MI->getOperand(0).getReg() == AArch64::Q10
20224              || MI->getOperand(0).getReg() == AArch64::Q11
20225              || MI->getOperand(0).getReg() == AArch64::Q12
20226              || MI->getOperand(0).getReg() == AArch64::Q13
20227              || MI->getOperand(0).getReg() == AArch64::Q14
20228              || MI->getOperand(0).getReg() == AArch64::Q15
20229              || MI->getOperand(0).getReg() == AArch64::Q16
20230              || MI->getOperand(0).getReg() == AArch64::Q17
20231              || MI->getOperand(0).getReg() == AArch64::Q18
20232              || MI->getOperand(0).getReg() == AArch64::Q19
20233              || MI->getOperand(0).getReg() == AArch64::Q20
20234              || MI->getOperand(0).getReg() == AArch64::Q21
20235              || MI->getOperand(0).getReg() == AArch64::Q22
20236              || MI->getOperand(0).getReg() == AArch64::Q23
20237              || MI->getOperand(0).getReg() == AArch64::Q24
20238              || MI->getOperand(0).getReg() == AArch64::Q25
20239              || MI->getOperand(0).getReg() == AArch64::Q26
20240              || MI->getOperand(0).getReg() == AArch64::Q27
20241              || MI->getOperand(0).getReg() == AArch64::Q28
20242              || MI->getOperand(0).getReg() == AArch64::Q29
20243              || MI->getOperand(0).getReg() == AArch64::Q30
20244              || MI->getOperand(0).getReg() == AArch64::Q31
20245            )
20246          ))
20247        return 1071; // M5WriteNEONO
20248      return 1072; // M5WriteNEONN
20249    }
20250    break;
20251  case 318: // LDRBroW
20252    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20253      if (AArch64InstrInfo::isScaledAddr(*MI))
20254        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20255      return 1030; // WriteLD_ReadDefault
20256    }
20257    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20258      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20259        return 1075; // M3WriteLE_ReadDefault
20260      return 1075; // M3WriteLE_ReadDefault
20261    }
20262    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20263      if ((
20264            AArch64InstrInfo::isScaledAddr(*MI)
20265            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20266          ))
20267        return 1076; // M4WriteLE_ReadDefault
20268      return 1076; // M4WriteLE_ReadDefault
20269      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20270          && (
20271            AArch64InstrInfo::isScaledAddr(*MI)
20272            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20273          ))
20274        return 1031; // M4WriteL5_ReadDefault
20275      if ((
20276            AArch64InstrInfo::isScaledAddr(*MI)
20277            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20278          ))
20279        return 1032; // M4WriteL4_ReadDefault
20280      return 1032; // M4WriteL4_ReadDefault
20281    }
20282    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20283      if ((
20284            AArch64InstrInfo::isScaledAddr(*MI)
20285            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20286          ))
20287        return 1077; // M5WriteLE_ReadDefault
20288      return 1077; // M5WriteLE_ReadDefault
20289      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20290          && (
20291            AArch64InstrInfo::isScaledAddr(*MI)
20292            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20293          ))
20294        return 1033; // M5WriteL5_ReadDefault
20295      if ((
20296            AArch64InstrInfo::isScaledAddr(*MI)
20297            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20298          ))
20299        return 1034; // M5WriteL4_ReadDefault
20300      return 1034; // M5WriteL4_ReadDefault
20301    }
20302    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20303      if (TII->isFalkorShiftExtFast(*MI))
20304        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20305      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20306    }
20307    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20308      if (AArch64InstrInfo::isScaledAddr(*MI))
20309        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20310      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20311      if (AArch64InstrInfo::isScaledAddr(*MI))
20312        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20313      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20314      if (AArch64InstrInfo::isScaledAddr(*MI))
20315        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20316      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20317      if (AArch64InstrInfo::isScaledAddr(*MI))
20318        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20319      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20320    }
20321    break;
20322  case 319: // LDRBroX
20323    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20324      if (AArch64InstrInfo::isScaledAddr(*MI))
20325        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20326      return 1030; // WriteLD_ReadDefault
20327    }
20328    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20329      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20330        return 1080; // WriteVLD_ReadDefault
20331      return 1080; // WriteVLD_ReadDefault
20332    }
20333    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20334      if ((
20335            AArch64InstrInfo::isScaledAddr(*MI)
20336            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20337          ))
20338        return 1080; // WriteVLD_ReadDefault
20339      return 1080; // WriteVLD_ReadDefault
20340      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20341          && (
20342            AArch64InstrInfo::isScaledAddr(*MI)
20343            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20344          ))
20345        return 1031; // M4WriteL5_ReadDefault
20346      if ((
20347            AArch64InstrInfo::isScaledAddr(*MI)
20348            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20349          ))
20350        return 1032; // M4WriteL4_ReadDefault
20351      return 1032; // M4WriteL4_ReadDefault
20352    }
20353    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20354      if ((
20355            AArch64InstrInfo::isScaledAddr(*MI)
20356            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20357          ))
20358        return 1080; // WriteVLD_ReadDefault
20359      return 1080; // WriteVLD_ReadDefault
20360      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20361          && (
20362            AArch64InstrInfo::isScaledAddr(*MI)
20363            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20364          ))
20365        return 1033; // M5WriteL5_ReadDefault
20366      if ((
20367            AArch64InstrInfo::isScaledAddr(*MI)
20368            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20369          ))
20370        return 1034; // M5WriteL4_ReadDefault
20371      return 1034; // M5WriteL4_ReadDefault
20372    }
20373    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20374      if (TII->isFalkorShiftExtFast(*MI))
20375        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20376      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20377    }
20378    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20379      if (AArch64InstrInfo::isScaledAddr(*MI))
20380        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20381      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20382      if (AArch64InstrInfo::isScaledAddr(*MI))
20383        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20384      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20385      if (AArch64InstrInfo::isScaledAddr(*MI))
20386        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20387      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20388    }
20389    break;
20390  case 324: // LDRDroW
20391    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20392      if (AArch64InstrInfo::isScaledAddr(*MI))
20393        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20394      return 1030; // WriteLD_ReadDefault
20395    }
20396    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20397      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20398        return 1075; // M3WriteLE_ReadDefault
20399      return 1075; // M3WriteLE_ReadDefault
20400    }
20401    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20402      if ((
20403            AArch64InstrInfo::isScaledAddr(*MI)
20404            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20405          ))
20406        return 1076; // M4WriteLE_ReadDefault
20407      return 1076; // M4WriteLE_ReadDefault
20408      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20409          && (
20410            AArch64InstrInfo::isScaledAddr(*MI)
20411            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20412          ))
20413        return 1031; // M4WriteL5_ReadDefault
20414      if ((
20415            AArch64InstrInfo::isScaledAddr(*MI)
20416            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20417          ))
20418        return 1032; // M4WriteL4_ReadDefault
20419      return 1032; // M4WriteL4_ReadDefault
20420    }
20421    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20422      if ((
20423            AArch64InstrInfo::isScaledAddr(*MI)
20424            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20425          ))
20426        return 1077; // M5WriteLE_ReadDefault
20427      return 1077; // M5WriteLE_ReadDefault
20428      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20429          && (
20430            AArch64InstrInfo::isScaledAddr(*MI)
20431            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20432          ))
20433        return 1033; // M5WriteL5_ReadDefault
20434      if ((
20435            AArch64InstrInfo::isScaledAddr(*MI)
20436            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20437          ))
20438        return 1034; // M5WriteL4_ReadDefault
20439      return 1034; // M5WriteL4_ReadDefault
20440    }
20441    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20442      if (TII->isFalkorShiftExtFast(*MI))
20443        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20444      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20445    }
20446    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20447      if (AArch64InstrInfo::isScaledAddr(*MI))
20448        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20449      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20450      if (AArch64InstrInfo::isScaledAddr(*MI))
20451        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20452      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20453      if (AArch64InstrInfo::isScaledAddr(*MI))
20454        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20455      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20456    }
20457    break;
20458  case 325: // LDRDroX
20459    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20460      if (AArch64InstrInfo::isScaledAddr(*MI))
20461        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20462      return 1030; // WriteLD_ReadDefault
20463    }
20464    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20465      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20466        return 1080; // WriteVLD_ReadDefault
20467      return 1080; // WriteVLD_ReadDefault
20468    }
20469    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20470      if ((
20471            AArch64InstrInfo::isScaledAddr(*MI)
20472            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20473          ))
20474        return 1080; // WriteVLD_ReadDefault
20475      return 1080; // WriteVLD_ReadDefault
20476      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20477          && (
20478            AArch64InstrInfo::isScaledAddr(*MI)
20479            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20480          ))
20481        return 1031; // M4WriteL5_ReadDefault
20482      if ((
20483            AArch64InstrInfo::isScaledAddr(*MI)
20484            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20485          ))
20486        return 1032; // M4WriteL4_ReadDefault
20487      return 1032; // M4WriteL4_ReadDefault
20488    }
20489    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20490      if ((
20491            AArch64InstrInfo::isScaledAddr(*MI)
20492            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20493          ))
20494        return 1080; // WriteVLD_ReadDefault
20495      return 1080; // WriteVLD_ReadDefault
20496      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20497          && (
20498            AArch64InstrInfo::isScaledAddr(*MI)
20499            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20500          ))
20501        return 1033; // M5WriteL5_ReadDefault
20502      if ((
20503            AArch64InstrInfo::isScaledAddr(*MI)
20504            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20505          ))
20506        return 1034; // M5WriteL4_ReadDefault
20507      return 1034; // M5WriteL4_ReadDefault
20508    }
20509    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20510      if (TII->isFalkorShiftExtFast(*MI))
20511        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20512      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20513    }
20514    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20515      if (AArch64InstrInfo::isScaledAddr(*MI))
20516        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20517      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20518      if (AArch64InstrInfo::isScaledAddr(*MI))
20519        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20520      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20521      if (AArch64InstrInfo::isScaledAddr(*MI))
20522        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20523      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20524    }
20525    break;
20526  case 327: // LDRHHroW
20527    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20528      if (AArch64InstrInfo::isScaledAddr(*MI))
20529        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20530      return 1030; // WriteLD_ReadDefault
20531    }
20532    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20533      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20534        return 1081; // M3WriteLB_ReadDefault
20535      return 1081; // M3WriteLB_ReadDefault
20536    }
20537    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20538      if ((
20539            AArch64InstrInfo::isScaledAddr(*MI)
20540            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20541          ))
20542        return 1031; // M4WriteL5_ReadDefault
20543      return 1031; // M4WriteL5_ReadDefault
20544      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20545          && (
20546            AArch64InstrInfo::isScaledAddr(*MI)
20547            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20548          ))
20549        return 1031; // M4WriteL5_ReadDefault
20550      if ((
20551            AArch64InstrInfo::isScaledAddr(*MI)
20552            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20553          ))
20554        return 1032; // M4WriteL4_ReadDefault
20555      return 1032; // M4WriteL4_ReadDefault
20556    }
20557    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20558      if ((
20559            AArch64InstrInfo::isScaledAddr(*MI)
20560            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20561          ))
20562        return 1033; // M5WriteL5_ReadDefault
20563      return 1033; // M5WriteL5_ReadDefault
20564      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20565          && (
20566            AArch64InstrInfo::isScaledAddr(*MI)
20567            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20568          ))
20569        return 1033; // M5WriteL5_ReadDefault
20570      if ((
20571            AArch64InstrInfo::isScaledAddr(*MI)
20572            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20573          ))
20574        return 1034; // M5WriteL4_ReadDefault
20575      return 1034; // M5WriteL4_ReadDefault
20576    }
20577    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20578      if (TII->isFalkorShiftExtFast(*MI))
20579        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20580      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20581    }
20582    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20583      if (AArch64InstrInfo::isScaledAddr(*MI))
20584        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20585      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20586      if (AArch64InstrInfo::isScaledAddr(*MI))
20587        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20588      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20589      if (AArch64InstrInfo::isScaledAddr(*MI))
20590        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20591      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20592    }
20593    break;
20594  case 328: // LDRHHroX
20595    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20596      if (AArch64InstrInfo::isScaledAddr(*MI))
20597        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20598      return 1030; // WriteLD_ReadDefault
20599    }
20600    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20601      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20602        return 1082; // M3WriteL5_ReadDefault
20603      return 1083; // M3WriteL4_ReadDefault
20604    }
20605    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20606      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20607          && (
20608            AArch64InstrInfo::isScaledAddr(*MI)
20609            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20610          ))
20611        return 1031; // M4WriteL5_ReadDefault
20612      if ((
20613            AArch64InstrInfo::isScaledAddr(*MI)
20614            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20615          ))
20616        return 1032; // M4WriteL4_ReadDefault
20617      return 1032; // M4WriteL4_ReadDefault
20618      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20619          && (
20620            AArch64InstrInfo::isScaledAddr(*MI)
20621            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20622          ))
20623        return 1031; // M4WriteL5_ReadDefault
20624      if ((
20625            AArch64InstrInfo::isScaledAddr(*MI)
20626            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20627          ))
20628        return 1032; // M4WriteL4_ReadDefault
20629      return 1032; // M4WriteL4_ReadDefault
20630    }
20631    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20632      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20633          && (
20634            AArch64InstrInfo::isScaledAddr(*MI)
20635            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20636          ))
20637        return 1033; // M5WriteL5_ReadDefault
20638      if ((
20639            AArch64InstrInfo::isScaledAddr(*MI)
20640            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20641          ))
20642        return 1034; // M5WriteL4_ReadDefault
20643      return 1034; // M5WriteL4_ReadDefault
20644      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20645          && (
20646            AArch64InstrInfo::isScaledAddr(*MI)
20647            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20648          ))
20649        return 1033; // M5WriteL5_ReadDefault
20650      if ((
20651            AArch64InstrInfo::isScaledAddr(*MI)
20652            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20653          ))
20654        return 1034; // M5WriteL4_ReadDefault
20655      return 1034; // M5WriteL4_ReadDefault
20656    }
20657    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20658      if (TII->isFalkorShiftExtFast(*MI))
20659        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20660      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20661    }
20662    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20663      if (AArch64InstrInfo::isScaledAddr(*MI))
20664        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20665      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20666      if (AArch64InstrInfo::isScaledAddr(*MI))
20667        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20668      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20669      if (AArch64InstrInfo::isScaledAddr(*MI))
20670        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20671      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20672    }
20673    break;
20674  case 331: // LDRHroW
20675    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20676      if (AArch64InstrInfo::isScaledAddr(*MI))
20677        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20678      return 1030; // WriteLD_ReadDefault
20679    }
20680    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20681      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20682        return 1075; // M3WriteLE_ReadDefault
20683      return 1075; // M3WriteLE_ReadDefault
20684    }
20685    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20686      if ((
20687            AArch64InstrInfo::isScaledAddr(*MI)
20688            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20689          ))
20690        return 1076; // M4WriteLE_ReadDefault
20691      return 1076; // M4WriteLE_ReadDefault
20692      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20693          && (
20694            AArch64InstrInfo::isScaledAddr(*MI)
20695            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20696          ))
20697        return 1031; // M4WriteL5_ReadDefault
20698      if ((
20699            AArch64InstrInfo::isScaledAddr(*MI)
20700            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20701          ))
20702        return 1032; // M4WriteL4_ReadDefault
20703      return 1032; // M4WriteL4_ReadDefault
20704    }
20705    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20706      if ((
20707            AArch64InstrInfo::isScaledAddr(*MI)
20708            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20709          ))
20710        return 1077; // M5WriteLE_ReadDefault
20711      return 1077; // M5WriteLE_ReadDefault
20712      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20713          && (
20714            AArch64InstrInfo::isScaledAddr(*MI)
20715            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20716          ))
20717        return 1033; // M5WriteL5_ReadDefault
20718      if ((
20719            AArch64InstrInfo::isScaledAddr(*MI)
20720            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20721          ))
20722        return 1034; // M5WriteL4_ReadDefault
20723      return 1034; // M5WriteL4_ReadDefault
20724    }
20725    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20726      if (TII->isFalkorShiftExtFast(*MI))
20727        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20728      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20729    }
20730    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20731      if (AArch64InstrInfo::isScaledAddr(*MI))
20732        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20733      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20734      if (AArch64InstrInfo::isScaledAddr(*MI))
20735        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20736      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20737      if (AArch64InstrInfo::isScaledAddr(*MI))
20738        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20739      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20740    }
20741    break;
20742  case 332: // LDRHroX
20743    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20744      if (AArch64InstrInfo::isScaledAddr(*MI))
20745        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20746      return 1030; // WriteLD_ReadDefault
20747    }
20748    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20749      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20750        return 1080; // WriteVLD_ReadDefault
20751      return 1080; // WriteVLD_ReadDefault
20752    }
20753    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20754      if ((
20755            AArch64InstrInfo::isScaledAddr(*MI)
20756            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20757          ))
20758        return 1080; // WriteVLD_ReadDefault
20759      return 1080; // WriteVLD_ReadDefault
20760      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20761          && (
20762            AArch64InstrInfo::isScaledAddr(*MI)
20763            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20764          ))
20765        return 1031; // M4WriteL5_ReadDefault
20766      if ((
20767            AArch64InstrInfo::isScaledAddr(*MI)
20768            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20769          ))
20770        return 1032; // M4WriteL4_ReadDefault
20771      return 1032; // M4WriteL4_ReadDefault
20772    }
20773    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20774      if ((
20775            AArch64InstrInfo::isScaledAddr(*MI)
20776            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20777          ))
20778        return 1080; // WriteVLD_ReadDefault
20779      return 1080; // WriteVLD_ReadDefault
20780      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20781          && (
20782            AArch64InstrInfo::isScaledAddr(*MI)
20783            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20784          ))
20785        return 1033; // M5WriteL5_ReadDefault
20786      if ((
20787            AArch64InstrInfo::isScaledAddr(*MI)
20788            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20789          ))
20790        return 1034; // M5WriteL4_ReadDefault
20791      return 1034; // M5WriteL4_ReadDefault
20792    }
20793    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20794      if (TII->isFalkorShiftExtFast(*MI))
20795        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20796      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20797    }
20798    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20799      if (AArch64InstrInfo::isScaledAddr(*MI))
20800        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20801      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20802      if (AArch64InstrInfo::isScaledAddr(*MI))
20803        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20804      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20805      if (AArch64InstrInfo::isScaledAddr(*MI))
20806        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20807      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20808    }
20809    break;
20810  case 337: // LDRQroW
20811    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20812      if (AArch64InstrInfo::isScaledAddr(*MI))
20813        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20814      return 1030; // WriteLD_ReadDefault
20815    }
20816    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20817      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20818        return 1075; // M3WriteLE_ReadDefault
20819      return 1082; // M3WriteL5_ReadDefault
20820    }
20821    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20822      if ((
20823            AArch64InstrInfo::isScaledAddr(*MI)
20824            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20825          ))
20826        return 1076; // M4WriteLE_ReadDefault
20827      return 1076; // M4WriteLE_ReadDefault
20828      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20829          && (
20830            AArch64InstrInfo::isScaledAddr(*MI)
20831            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20832          ))
20833        return 1031; // M4WriteL5_ReadDefault
20834      if ((
20835            AArch64InstrInfo::isScaledAddr(*MI)
20836            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20837          ))
20838        return 1032; // M4WriteL4_ReadDefault
20839      return 1032; // M4WriteL4_ReadDefault
20840    }
20841    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20842      if ((
20843            AArch64InstrInfo::isScaledAddr(*MI)
20844            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20845          ))
20846        return 1077; // M5WriteLE_ReadDefault
20847      return 1077; // M5WriteLE_ReadDefault
20848      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20849          && (
20850            AArch64InstrInfo::isScaledAddr(*MI)
20851            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20852          ))
20853        return 1033; // M5WriteL5_ReadDefault
20854      if ((
20855            AArch64InstrInfo::isScaledAddr(*MI)
20856            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20857          ))
20858        return 1034; // M5WriteL4_ReadDefault
20859      return 1034; // M5WriteL4_ReadDefault
20860    }
20861    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20862      if (TII->isFalkorShiftExtFast(*MI))
20863        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20864      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20865    }
20866    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20867      if (AArch64InstrInfo::isScaledAddr(*MI))
20868        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20869      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20870      if (AArch64InstrInfo::isScaledAddr(*MI))
20871        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20872      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20873      if (AArch64InstrInfo::isScaledAddr(*MI))
20874        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20875      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20876    }
20877    break;
20878  case 338: // LDRQroX
20879    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20880      if (AArch64InstrInfo::isScaledAddr(*MI))
20881        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20882      return 1030; // WriteLD_ReadDefault
20883    }
20884    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20885      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20886        return 1075; // M3WriteLE_ReadDefault
20887      return 1082; // M3WriteL5_ReadDefault
20888    }
20889    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20890      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20891          && (
20892            AArch64InstrInfo::isScaledAddr(*MI)
20893            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20894          ))
20895        return 1076; // M4WriteLE_ReadDefault
20896      if ((
20897            AArch64InstrInfo::isScaledAddr(*MI)
20898            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20899          ))
20900        return 1031; // M4WriteL5_ReadDefault
20901      return 1031; // M4WriteL5_ReadDefault
20902      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20903          && (
20904            AArch64InstrInfo::isScaledAddr(*MI)
20905            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20906          ))
20907        return 1031; // M4WriteL5_ReadDefault
20908      if ((
20909            AArch64InstrInfo::isScaledAddr(*MI)
20910            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20911          ))
20912        return 1032; // M4WriteL4_ReadDefault
20913      return 1032; // M4WriteL4_ReadDefault
20914    }
20915    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20916      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20917          && (
20918            AArch64InstrInfo::isScaledAddr(*MI)
20919            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20920          ))
20921        return 1077; // M5WriteLE_ReadDefault
20922      if ((
20923            AArch64InstrInfo::isScaledAddr(*MI)
20924            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20925          ))
20926        return 1084; // M5WriteL6_ReadDefault
20927      return 1084; // M5WriteL6_ReadDefault
20928      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20929          && (
20930            AArch64InstrInfo::isScaledAddr(*MI)
20931            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20932          ))
20933        return 1033; // M5WriteL5_ReadDefault
20934      if ((
20935            AArch64InstrInfo::isScaledAddr(*MI)
20936            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20937          ))
20938        return 1034; // M5WriteL4_ReadDefault
20939      return 1034; // M5WriteL4_ReadDefault
20940    }
20941    if (SchedModel->getProcessorID() == 7) { // FalkorModel
20942      if (TII->isFalkorShiftExtFast(*MI))
20943        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
20944      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
20945    }
20946    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
20947      if (AArch64InstrInfo::isScaledAddr(*MI))
20948        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20949      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
20950      if (AArch64InstrInfo::isScaledAddr(*MI))
20951        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20952      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
20953      if (AArch64InstrInfo::isScaledAddr(*MI))
20954        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
20955      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
20956    }
20957    break;
20958  case 340: // LDRSHWroW
20959    if (SchedModel->getProcessorID() == 1) { // CycloneModel
20960      if (AArch64InstrInfo::isScaledAddr(*MI))
20961        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
20962      return 1030; // WriteLD_ReadDefault
20963    }
20964    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
20965      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
20966        return 1081; // M3WriteLB_ReadDefault
20967      return 1081; // M3WriteLB_ReadDefault
20968    }
20969    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
20970      if ((
20971            AArch64InstrInfo::isScaledAddr(*MI)
20972            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20973          ))
20974        return 1031; // M4WriteL5_ReadDefault
20975      return 1031; // M4WriteL5_ReadDefault
20976      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20977          && (
20978            AArch64InstrInfo::isScaledAddr(*MI)
20979            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20980          ))
20981        return 1031; // M4WriteL5_ReadDefault
20982      if ((
20983            AArch64InstrInfo::isScaledAddr(*MI)
20984            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20985          ))
20986        return 1032; // M4WriteL4_ReadDefault
20987      return 1032; // M4WriteL4_ReadDefault
20988    }
20989    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
20990      if ((
20991            AArch64InstrInfo::isScaledAddr(*MI)
20992            || AArch64InstrInfo::isExynosScaledAddr(*MI)
20993          ))
20994        return 1033; // M5WriteL5_ReadDefault
20995      return 1033; // M5WriteL5_ReadDefault
20996      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
20997          && (
20998            AArch64InstrInfo::isScaledAddr(*MI)
20999            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21000          ))
21001        return 1033; // M5WriteL5_ReadDefault
21002      if ((
21003            AArch64InstrInfo::isScaledAddr(*MI)
21004            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21005          ))
21006        return 1034; // M5WriteL4_ReadDefault
21007      return 1034; // M5WriteL4_ReadDefault
21008    }
21009    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21010      if (TII->isFalkorShiftExtFast(*MI))
21011        return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
21012      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
21013    }
21014    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21015      if (AArch64InstrInfo::isScaledAddr(*MI))
21016        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21017      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21018      if (AArch64InstrInfo::isScaledAddr(*MI))
21019        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21020      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21021      if (AArch64InstrInfo::isScaledAddr(*MI))
21022        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
21023      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
21024    }
21025    break;
21026  case 341: // LDRSHWroX
21027    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21028      if (AArch64InstrInfo::isScaledAddr(*MI))
21029        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
21030      return 1030; // WriteLD_ReadDefault
21031    }
21032    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21033      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21034        return 1082; // M3WriteL5_ReadDefault
21035      return 1083; // M3WriteL4_ReadDefault
21036    }
21037    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21038      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21039          && (
21040            AArch64InstrInfo::isScaledAddr(*MI)
21041            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21042          ))
21043        return 1031; // M4WriteL5_ReadDefault
21044      if ((
21045            AArch64InstrInfo::isScaledAddr(*MI)
21046            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21047          ))
21048        return 1032; // M4WriteL4_ReadDefault
21049      return 1032; // M4WriteL4_ReadDefault
21050      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21051          && (
21052            AArch64InstrInfo::isScaledAddr(*MI)
21053            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21054          ))
21055        return 1031; // M4WriteL5_ReadDefault
21056      if ((
21057            AArch64InstrInfo::isScaledAddr(*MI)
21058            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21059          ))
21060        return 1032; // M4WriteL4_ReadDefault
21061      return 1032; // M4WriteL4_ReadDefault
21062    }
21063    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21064      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21065          && (
21066            AArch64InstrInfo::isScaledAddr(*MI)
21067            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21068          ))
21069        return 1033; // M5WriteL5_ReadDefault
21070      if ((
21071            AArch64InstrInfo::isScaledAddr(*MI)
21072            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21073          ))
21074        return 1034; // M5WriteL4_ReadDefault
21075      return 1034; // M5WriteL4_ReadDefault
21076      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21077          && (
21078            AArch64InstrInfo::isScaledAddr(*MI)
21079            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21080          ))
21081        return 1033; // M5WriteL5_ReadDefault
21082      if ((
21083            AArch64InstrInfo::isScaledAddr(*MI)
21084            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21085          ))
21086        return 1034; // M5WriteL4_ReadDefault
21087      return 1034; // M5WriteL4_ReadDefault
21088    }
21089    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21090      if (TII->isFalkorShiftExtFast(*MI))
21091        return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
21092      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
21093    }
21094    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21095      if (AArch64InstrInfo::isScaledAddr(*MI))
21096        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21097      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21098      if (AArch64InstrInfo::isScaledAddr(*MI))
21099        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21100      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21101      if (AArch64InstrInfo::isScaledAddr(*MI))
21102        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
21103      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
21104    }
21105    break;
21106  case 342: // LDRSHXroW
21107    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21108      if (AArch64InstrInfo::isScaledAddr(*MI))
21109        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
21110      return 1030; // WriteLD_ReadDefault
21111    }
21112    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21113      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21114        return 1081; // M3WriteLB_ReadDefault
21115      return 1081; // M3WriteLB_ReadDefault
21116    }
21117    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21118      if ((
21119            AArch64InstrInfo::isScaledAddr(*MI)
21120            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21121          ))
21122        return 1031; // M4WriteL5_ReadDefault
21123      return 1031; // M4WriteL5_ReadDefault
21124      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21125          && (
21126            AArch64InstrInfo::isScaledAddr(*MI)
21127            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21128          ))
21129        return 1031; // M4WriteL5_ReadDefault
21130      if ((
21131            AArch64InstrInfo::isScaledAddr(*MI)
21132            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21133          ))
21134        return 1032; // M4WriteL4_ReadDefault
21135      return 1032; // M4WriteL4_ReadDefault
21136    }
21137    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21138      if ((
21139            AArch64InstrInfo::isScaledAddr(*MI)
21140            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21141          ))
21142        return 1033; // M5WriteL5_ReadDefault
21143      return 1033; // M5WriteL5_ReadDefault
21144      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21145          && (
21146            AArch64InstrInfo::isScaledAddr(*MI)
21147            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21148          ))
21149        return 1033; // M5WriteL5_ReadDefault
21150      if ((
21151            AArch64InstrInfo::isScaledAddr(*MI)
21152            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21153          ))
21154        return 1034; // M5WriteL4_ReadDefault
21155      return 1034; // M5WriteL4_ReadDefault
21156    }
21157    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21158      if (TII->isFalkorShiftExtFast(*MI))
21159        return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
21160      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
21161    }
21162    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21163      if (AArch64InstrInfo::isScaledAddr(*MI))
21164        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21165      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21166      if (AArch64InstrInfo::isScaledAddr(*MI))
21167        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21168      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21169      if (AArch64InstrInfo::isScaledAddr(*MI))
21170        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
21171      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
21172    }
21173    break;
21174  case 343: // LDRSHXroX
21175    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21176      if (AArch64InstrInfo::isScaledAddr(*MI))
21177        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
21178      return 1030; // WriteLD_ReadDefault
21179    }
21180    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21181      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21182        return 1082; // M3WriteL5_ReadDefault
21183      return 1083; // M3WriteL4_ReadDefault
21184    }
21185    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21186      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21187          && (
21188            AArch64InstrInfo::isScaledAddr(*MI)
21189            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21190          ))
21191        return 1031; // M4WriteL5_ReadDefault
21192      if ((
21193            AArch64InstrInfo::isScaledAddr(*MI)
21194            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21195          ))
21196        return 1032; // M4WriteL4_ReadDefault
21197      return 1032; // M4WriteL4_ReadDefault
21198      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21199          && (
21200            AArch64InstrInfo::isScaledAddr(*MI)
21201            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21202          ))
21203        return 1031; // M4WriteL5_ReadDefault
21204      if ((
21205            AArch64InstrInfo::isScaledAddr(*MI)
21206            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21207          ))
21208        return 1032; // M4WriteL4_ReadDefault
21209      return 1032; // M4WriteL4_ReadDefault
21210    }
21211    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21212      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21213          && (
21214            AArch64InstrInfo::isScaledAddr(*MI)
21215            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21216          ))
21217        return 1033; // M5WriteL5_ReadDefault
21218      if ((
21219            AArch64InstrInfo::isScaledAddr(*MI)
21220            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21221          ))
21222        return 1034; // M5WriteL4_ReadDefault
21223      return 1034; // M5WriteL4_ReadDefault
21224      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21225          && (
21226            AArch64InstrInfo::isScaledAddr(*MI)
21227            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21228          ))
21229        return 1033; // M5WriteL5_ReadDefault
21230      if ((
21231            AArch64InstrInfo::isScaledAddr(*MI)
21232            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21233          ))
21234        return 1034; // M5WriteL4_ReadDefault
21235      return 1034; // M5WriteL4_ReadDefault
21236    }
21237    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21238      if (TII->isFalkorShiftExtFast(*MI))
21239        return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
21240      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
21241    }
21242    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21243      if (AArch64InstrInfo::isScaledAddr(*MI))
21244        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21245      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21246      if (AArch64InstrInfo::isScaledAddr(*MI))
21247        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21248      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21249      if (AArch64InstrInfo::isScaledAddr(*MI))
21250        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
21251      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
21252    }
21253    break;
21254  case 347: // LDRSroW
21255    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21256      if (AArch64InstrInfo::isScaledAddr(*MI))
21257        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
21258      return 1030; // WriteLD_ReadDefault
21259    }
21260    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21261      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21262        return 1075; // M3WriteLE_ReadDefault
21263      return 1075; // M3WriteLE_ReadDefault
21264    }
21265    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21266      if ((
21267            AArch64InstrInfo::isScaledAddr(*MI)
21268            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21269          ))
21270        return 1076; // M4WriteLE_ReadDefault
21271      return 1076; // M4WriteLE_ReadDefault
21272      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21273          && (
21274            AArch64InstrInfo::isScaledAddr(*MI)
21275            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21276          ))
21277        return 1031; // M4WriteL5_ReadDefault
21278      if ((
21279            AArch64InstrInfo::isScaledAddr(*MI)
21280            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21281          ))
21282        return 1032; // M4WriteL4_ReadDefault
21283      return 1032; // M4WriteL4_ReadDefault
21284    }
21285    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21286      if ((
21287            AArch64InstrInfo::isScaledAddr(*MI)
21288            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21289          ))
21290        return 1077; // M5WriteLE_ReadDefault
21291      return 1077; // M5WriteLE_ReadDefault
21292      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21293          && (
21294            AArch64InstrInfo::isScaledAddr(*MI)
21295            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21296          ))
21297        return 1033; // M5WriteL5_ReadDefault
21298      if ((
21299            AArch64InstrInfo::isScaledAddr(*MI)
21300            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21301          ))
21302        return 1034; // M5WriteL4_ReadDefault
21303      return 1034; // M5WriteL4_ReadDefault
21304    }
21305    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21306      if (TII->isFalkorShiftExtFast(*MI))
21307        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
21308      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
21309    }
21310    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21311      if (AArch64InstrInfo::isScaledAddr(*MI))
21312        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21313      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21314      if (AArch64InstrInfo::isScaledAddr(*MI))
21315        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21316      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21317      if (AArch64InstrInfo::isScaledAddr(*MI))
21318        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
21319      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
21320    }
21321    break;
21322  case 348: // LDRSroX
21323    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21324      if (AArch64InstrInfo::isScaledAddr(*MI))
21325        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
21326      return 1030; // WriteLD_ReadDefault
21327    }
21328    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21329      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21330        return 1080; // WriteVLD_ReadDefault
21331      return 1080; // WriteVLD_ReadDefault
21332    }
21333    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21334      if ((
21335            AArch64InstrInfo::isScaledAddr(*MI)
21336            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21337          ))
21338        return 1080; // WriteVLD_ReadDefault
21339      return 1080; // WriteVLD_ReadDefault
21340      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21341          && (
21342            AArch64InstrInfo::isScaledAddr(*MI)
21343            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21344          ))
21345        return 1031; // M4WriteL5_ReadDefault
21346      if ((
21347            AArch64InstrInfo::isScaledAddr(*MI)
21348            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21349          ))
21350        return 1032; // M4WriteL4_ReadDefault
21351      return 1032; // M4WriteL4_ReadDefault
21352    }
21353    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21354      if ((
21355            AArch64InstrInfo::isScaledAddr(*MI)
21356            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21357          ))
21358        return 1080; // WriteVLD_ReadDefault
21359      return 1080; // WriteVLD_ReadDefault
21360      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21361          && (
21362            AArch64InstrInfo::isScaledAddr(*MI)
21363            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21364          ))
21365        return 1033; // M5WriteL5_ReadDefault
21366      if ((
21367            AArch64InstrInfo::isScaledAddr(*MI)
21368            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21369          ))
21370        return 1034; // M5WriteL4_ReadDefault
21371      return 1034; // M5WriteL4_ReadDefault
21372    }
21373    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21374      if (TII->isFalkorShiftExtFast(*MI))
21375        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
21376      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
21377    }
21378    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21379      if (AArch64InstrInfo::isScaledAddr(*MI))
21380        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21381      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
21382      if (AArch64InstrInfo::isScaledAddr(*MI))
21383        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21384      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
21385      if (AArch64InstrInfo::isScaledAddr(*MI))
21386        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
21387      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
21388    }
21389    break;
21390  case 359: // STPDpost
21391    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21392      if (AArch64InstrInfo::isScaledAddr(*MI))
21393        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21394      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21395      if (AArch64InstrInfo::isScaledAddr(*MI))
21396        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21397      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21398    }
21399    break;
21400  case 360: // STPDpre
21401    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21402      if (AArch64InstrInfo::isScaledAddr(*MI))
21403        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21404      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21405      if (AArch64InstrInfo::isScaledAddr(*MI))
21406        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21407      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21408    }
21409    break;
21410  case 362: // STPQpost
21411    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21412      if (AArch64InstrInfo::isScaledAddr(*MI))
21413        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21414      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21415      if (AArch64InstrInfo::isScaledAddr(*MI))
21416        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21417      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21418    }
21419    break;
21420  case 363: // STPQpre
21421    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21422      if (AArch64InstrInfo::isScaledAddr(*MI))
21423        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21424      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21425      if (AArch64InstrInfo::isScaledAddr(*MI))
21426        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21427      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21428    }
21429    break;
21430  case 364: // STPSpost
21431    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21432      if (AArch64InstrInfo::isScaledAddr(*MI))
21433        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21434      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21435      if (AArch64InstrInfo::isScaledAddr(*MI))
21436        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21437      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21438    }
21439    break;
21440  case 365: // STPSpre
21441    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21442      if (AArch64InstrInfo::isScaledAddr(*MI))
21443        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21444      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21445      if (AArch64InstrInfo::isScaledAddr(*MI))
21446        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21447      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21448    }
21449    break;
21450  case 366: // STPWpost
21451    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21452      if (AArch64InstrInfo::isScaledAddr(*MI))
21453        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21454      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21455      if (AArch64InstrInfo::isScaledAddr(*MI))
21456        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21457      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21458    }
21459    break;
21460  case 367: // STPWpre
21461    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21462      if (AArch64InstrInfo::isScaledAddr(*MI))
21463        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21464      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21465      if (AArch64InstrInfo::isScaledAddr(*MI))
21466        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21467      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21468    }
21469    break;
21470  case 369: // STPXpost
21471    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21472      if (AArch64InstrInfo::isScaledAddr(*MI))
21473        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21474      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21475      if (AArch64InstrInfo::isScaledAddr(*MI))
21476        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21477      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21478    }
21479    break;
21480  case 370: // STPXpre
21481    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21482      if (AArch64InstrInfo::isScaledAddr(*MI))
21483        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21484      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21485      if (AArch64InstrInfo::isScaledAddr(*MI))
21486        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21487      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21488    }
21489    break;
21490  case 371: // STRBBpost
21491    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21492      if (AArch64InstrInfo::isScaledAddr(*MI))
21493        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21494      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21495      if (AArch64InstrInfo::isScaledAddr(*MI))
21496        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21497      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21498    }
21499    break;
21500  case 372: // STRBBpre
21501    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21502      if (AArch64InstrInfo::isScaledAddr(*MI))
21503        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21504      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21505      if (AArch64InstrInfo::isScaledAddr(*MI))
21506        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21507      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21508    }
21509    break;
21510  case 373: // STRBpost
21511    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21512      if (AArch64InstrInfo::isScaledAddr(*MI))
21513        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21514      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21515      if (AArch64InstrInfo::isScaledAddr(*MI))
21516        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21517      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21518    }
21519    break;
21520  case 374: // STRBpre
21521    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21522      if (AArch64InstrInfo::isScaledAddr(*MI))
21523        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21524      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21525      if (AArch64InstrInfo::isScaledAddr(*MI))
21526        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21527      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21528    }
21529    break;
21530  case 375: // STRBroW
21531    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21532      if (AArch64InstrInfo::isScaledAddr(*MI))
21533        return 1037; // (WriteIS_WriteST)_ReadBaseRS
21534      return 1038; // WriteST_ReadDefault
21535    }
21536    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21537      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21538        return 1091; // M3WriteSA_ReadDefault
21539      return 1091; // M3WriteSA_ReadDefault
21540    }
21541    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21542      if ((
21543            AArch64InstrInfo::isScaledAddr(*MI)
21544            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21545          ))
21546        return 1092; // M4WriteVSTK_ReadDefault
21547      return 1092; // M4WriteVSTK_ReadDefault
21548      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21549          && (
21550            AArch64InstrInfo::isScaledAddr(*MI)
21551            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21552          ))
21553        return 1039; // M4WriteSB_ReadDefault
21554      if ((
21555            AArch64InstrInfo::isScaledAddr(*MI)
21556            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21557          ))
21558        return 1040; // M4WriteS1_ReadDefault
21559      return 1040; // M4WriteS1_ReadDefault
21560    }
21561    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21562      if ((
21563            AArch64InstrInfo::isScaledAddr(*MI)
21564            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21565          ))
21566        return 1093; // WriteVST_ReadDefault
21567      return 1093; // WriteVST_ReadDefault
21568      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21569          && (
21570            AArch64InstrInfo::isScaledAddr(*MI)
21571            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21572          ))
21573        return 1041; // M5WriteSB_ReadDefault
21574      if ((
21575            AArch64InstrInfo::isScaledAddr(*MI)
21576            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21577          ))
21578        return 1042; // M5WriteS1_ReadDefault
21579      return 1042; // M5WriteS1_ReadDefault
21580    }
21581    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21582      if (TII->isFalkorShiftExtFast(*MI))
21583        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21584      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21585    }
21586    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21587      if (AArch64InstrInfo::isScaledAddr(*MI))
21588        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21589      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21590      if (AArch64InstrInfo::isScaledAddr(*MI))
21591        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21592      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21593    }
21594    break;
21595  case 376: // STRBroX
21596    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21597      if (AArch64InstrInfo::isScaledAddr(*MI))
21598        return 1037; // (WriteIS_WriteST)_ReadBaseRS
21599      return 1038; // WriteST_ReadDefault
21600    }
21601    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21602      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21603        return 1093; // WriteVST_ReadDefault
21604      return 1093; // WriteVST_ReadDefault
21605    }
21606    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21607      if ((
21608            AArch64InstrInfo::isScaledAddr(*MI)
21609            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21610          ))
21611        return 1093; // WriteVST_ReadDefault
21612      return 1093; // WriteVST_ReadDefault
21613      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21614          && (
21615            AArch64InstrInfo::isScaledAddr(*MI)
21616            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21617          ))
21618        return 1039; // M4WriteSB_ReadDefault
21619      if ((
21620            AArch64InstrInfo::isScaledAddr(*MI)
21621            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21622          ))
21623        return 1040; // M4WriteS1_ReadDefault
21624      return 1040; // M4WriteS1_ReadDefault
21625    }
21626    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21627      if ((
21628            AArch64InstrInfo::isScaledAddr(*MI)
21629            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21630          ))
21631        return 1093; // WriteVST_ReadDefault
21632      return 1093; // WriteVST_ReadDefault
21633      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21634          && (
21635            AArch64InstrInfo::isScaledAddr(*MI)
21636            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21637          ))
21638        return 1041; // M5WriteSB_ReadDefault
21639      if ((
21640            AArch64InstrInfo::isScaledAddr(*MI)
21641            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21642          ))
21643        return 1042; // M5WriteS1_ReadDefault
21644      return 1042; // M5WriteS1_ReadDefault
21645    }
21646    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21647      if (TII->isFalkorShiftExtFast(*MI))
21648        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21649      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21650    }
21651    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21652      if (AArch64InstrInfo::isScaledAddr(*MI))
21653        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21654      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21655      if (AArch64InstrInfo::isScaledAddr(*MI))
21656        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21657      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21658    }
21659    break;
21660  case 377: // STRDpost
21661    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21662      if (AArch64InstrInfo::isScaledAddr(*MI))
21663        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21664      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21665      if (AArch64InstrInfo::isScaledAddr(*MI))
21666        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21667      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21668    }
21669    break;
21670  case 378: // STRDpre
21671    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21672      if (AArch64InstrInfo::isScaledAddr(*MI))
21673        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21674      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21675      if (AArch64InstrInfo::isScaledAddr(*MI))
21676        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21677      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21678    }
21679    break;
21680  case 379: // STRHHpost
21681    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21682      if (AArch64InstrInfo::isScaledAddr(*MI))
21683        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21684      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21685      if (AArch64InstrInfo::isScaledAddr(*MI))
21686        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21687      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21688    }
21689    break;
21690  case 380: // STRHHpre
21691    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21692      if (AArch64InstrInfo::isScaledAddr(*MI))
21693        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21694      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21695      if (AArch64InstrInfo::isScaledAddr(*MI))
21696        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21697      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21698    }
21699    break;
21700  case 381: // STRHHroW
21701    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21702      if (AArch64InstrInfo::isScaledAddr(*MI))
21703        return 1037; // (WriteIS_WriteST)_ReadBaseRS
21704      return 1038; // WriteST_ReadDefault
21705    }
21706    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21707      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21708        return 1096; // M3WriteSB_ReadDefault
21709      return 1096; // M3WriteSB_ReadDefault
21710    }
21711    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21712      if ((
21713            AArch64InstrInfo::isScaledAddr(*MI)
21714            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21715          ))
21716        return 1039; // M4WriteSB_ReadDefault
21717      return 1039; // M4WriteSB_ReadDefault
21718      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21719          && (
21720            AArch64InstrInfo::isScaledAddr(*MI)
21721            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21722          ))
21723        return 1039; // M4WriteSB_ReadDefault
21724      if ((
21725            AArch64InstrInfo::isScaledAddr(*MI)
21726            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21727          ))
21728        return 1040; // M4WriteS1_ReadDefault
21729      return 1040; // M4WriteS1_ReadDefault
21730    }
21731    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21732      if ((
21733            AArch64InstrInfo::isScaledAddr(*MI)
21734            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21735          ))
21736        return 1041; // M5WriteSB_ReadDefault
21737      return 1041; // M5WriteSB_ReadDefault
21738      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21739          && (
21740            AArch64InstrInfo::isScaledAddr(*MI)
21741            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21742          ))
21743        return 1041; // M5WriteSB_ReadDefault
21744      if ((
21745            AArch64InstrInfo::isScaledAddr(*MI)
21746            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21747          ))
21748        return 1042; // M5WriteS1_ReadDefault
21749      return 1042; // M5WriteS1_ReadDefault
21750    }
21751    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21752      if (TII->isFalkorShiftExtFast(*MI))
21753        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21754      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21755    }
21756    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21757      if (AArch64InstrInfo::isScaledAddr(*MI))
21758        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21759      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21760      if (AArch64InstrInfo::isScaledAddr(*MI))
21761        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21762      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21763    }
21764    break;
21765  case 382: // STRHHroX
21766    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21767      if (AArch64InstrInfo::isScaledAddr(*MI))
21768        return 1037; // (WriteIS_WriteST)_ReadBaseRS
21769      return 1038; // WriteST_ReadDefault
21770    }
21771    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21772      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21773        return 1038; // WriteST_ReadDefault
21774      return 1038; // WriteST_ReadDefault
21775    }
21776    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21777      if ((
21778            AArch64InstrInfo::isScaledAddr(*MI)
21779            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21780          ))
21781        return 1038; // WriteST_ReadDefault
21782      return 1038; // WriteST_ReadDefault
21783      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21784          && (
21785            AArch64InstrInfo::isScaledAddr(*MI)
21786            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21787          ))
21788        return 1039; // M4WriteSB_ReadDefault
21789      if ((
21790            AArch64InstrInfo::isScaledAddr(*MI)
21791            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21792          ))
21793        return 1040; // M4WriteS1_ReadDefault
21794      return 1040; // M4WriteS1_ReadDefault
21795    }
21796    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21797      if ((
21798            AArch64InstrInfo::isScaledAddr(*MI)
21799            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21800          ))
21801        return 1038; // WriteST_ReadDefault
21802      return 1038; // WriteST_ReadDefault
21803      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21804          && (
21805            AArch64InstrInfo::isScaledAddr(*MI)
21806            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21807          ))
21808        return 1041; // M5WriteSB_ReadDefault
21809      if ((
21810            AArch64InstrInfo::isScaledAddr(*MI)
21811            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21812          ))
21813        return 1042; // M5WriteS1_ReadDefault
21814      return 1042; // M5WriteS1_ReadDefault
21815    }
21816    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21817      if (TII->isFalkorShiftExtFast(*MI))
21818        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21819      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21820    }
21821    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21822      if (AArch64InstrInfo::isScaledAddr(*MI))
21823        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21824      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21825      if (AArch64InstrInfo::isScaledAddr(*MI))
21826        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21827      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21828    }
21829    break;
21830  case 383: // STRHpost
21831    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21832      if (AArch64InstrInfo::isScaledAddr(*MI))
21833        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21834      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21835      if (AArch64InstrInfo::isScaledAddr(*MI))
21836        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21837      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21838    }
21839    break;
21840  case 384: // STRHpre
21841    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21842      if (AArch64InstrInfo::isScaledAddr(*MI))
21843        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21844      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21845      if (AArch64InstrInfo::isScaledAddr(*MI))
21846        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21847      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21848    }
21849    break;
21850  case 385: // STRHroW
21851    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21852      if (AArch64InstrInfo::isScaledAddr(*MI))
21853        return 1037; // (WriteIS_WriteST)_ReadBaseRS
21854      return 1038; // WriteST_ReadDefault
21855    }
21856    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21857      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21858        return 1091; // M3WriteSA_ReadDefault
21859      return 1091; // M3WriteSA_ReadDefault
21860    }
21861    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21862      if ((
21863            AArch64InstrInfo::isScaledAddr(*MI)
21864            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21865          ))
21866        return 1092; // M4WriteVSTK_ReadDefault
21867      return 1092; // M4WriteVSTK_ReadDefault
21868      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21869          && (
21870            AArch64InstrInfo::isScaledAddr(*MI)
21871            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21872          ))
21873        return 1039; // M4WriteSB_ReadDefault
21874      if ((
21875            AArch64InstrInfo::isScaledAddr(*MI)
21876            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21877          ))
21878        return 1040; // M4WriteS1_ReadDefault
21879      return 1040; // M4WriteS1_ReadDefault
21880    }
21881    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21882      if ((
21883            AArch64InstrInfo::isScaledAddr(*MI)
21884            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21885          ))
21886        return 1093; // WriteVST_ReadDefault
21887      return 1093; // WriteVST_ReadDefault
21888      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21889          && (
21890            AArch64InstrInfo::isScaledAddr(*MI)
21891            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21892          ))
21893        return 1041; // M5WriteSB_ReadDefault
21894      if ((
21895            AArch64InstrInfo::isScaledAddr(*MI)
21896            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21897          ))
21898        return 1042; // M5WriteS1_ReadDefault
21899      return 1042; // M5WriteS1_ReadDefault
21900    }
21901    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21902      if (TII->isFalkorShiftExtFast(*MI))
21903        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21904      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21905    }
21906    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21907      if (AArch64InstrInfo::isScaledAddr(*MI))
21908        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21909      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21910      if (AArch64InstrInfo::isScaledAddr(*MI))
21911        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21912      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21913    }
21914    break;
21915  case 386: // STRHroX
21916    if (SchedModel->getProcessorID() == 1) { // CycloneModel
21917      if (AArch64InstrInfo::isScaledAddr(*MI))
21918        return 1037; // (WriteIS_WriteST)_ReadBaseRS
21919      return 1038; // WriteST_ReadDefault
21920    }
21921    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
21922      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
21923        return 1093; // WriteVST_ReadDefault
21924      return 1093; // WriteVST_ReadDefault
21925    }
21926    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
21927      if ((
21928            AArch64InstrInfo::isScaledAddr(*MI)
21929            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21930          ))
21931        return 1093; // WriteVST_ReadDefault
21932      return 1093; // WriteVST_ReadDefault
21933      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21934          && (
21935            AArch64InstrInfo::isScaledAddr(*MI)
21936            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21937          ))
21938        return 1039; // M4WriteSB_ReadDefault
21939      if ((
21940            AArch64InstrInfo::isScaledAddr(*MI)
21941            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21942          ))
21943        return 1040; // M4WriteS1_ReadDefault
21944      return 1040; // M4WriteS1_ReadDefault
21945    }
21946    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
21947      if ((
21948            AArch64InstrInfo::isScaledAddr(*MI)
21949            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21950          ))
21951        return 1093; // WriteVST_ReadDefault
21952      return 1093; // WriteVST_ReadDefault
21953      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
21954          && (
21955            AArch64InstrInfo::isScaledAddr(*MI)
21956            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21957          ))
21958        return 1041; // M5WriteSB_ReadDefault
21959      if ((
21960            AArch64InstrInfo::isScaledAddr(*MI)
21961            || AArch64InstrInfo::isExynosScaledAddr(*MI)
21962          ))
21963        return 1042; // M5WriteS1_ReadDefault
21964      return 1042; // M5WriteS1_ReadDefault
21965    }
21966    if (SchedModel->getProcessorID() == 7) { // FalkorModel
21967      if (TII->isFalkorShiftExtFast(*MI))
21968        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21969      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
21970    }
21971    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21972      if (AArch64InstrInfo::isScaledAddr(*MI))
21973        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21974      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21975      if (AArch64InstrInfo::isScaledAddr(*MI))
21976        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21977      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21978    }
21979    break;
21980  case 387: // STRQpost
21981    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21982      if (AArch64InstrInfo::isScaledAddr(*MI))
21983        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21984      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21985      if (AArch64InstrInfo::isScaledAddr(*MI))
21986        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21987      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21988    }
21989    break;
21990  case 388: // STRQpre
21991    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
21992      if (AArch64InstrInfo::isScaledAddr(*MI))
21993        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21994      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
21995      if (AArch64InstrInfo::isScaledAddr(*MI))
21996        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21997      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
21998    }
21999    break;
22000  case 389: // STRQroW
22001    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22002      if (AArch64InstrInfo::isScaledAddr(*MI))
22003        return 1037; // (WriteIS_WriteST)_ReadBaseRS
22004      return 1038; // WriteST_ReadDefault
22005    }
22006    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22007      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
22008        return 1091; // M3WriteSA_ReadDefault
22009      return 1091; // M3WriteSA_ReadDefault
22010    }
22011    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22012      if ((
22013            AArch64InstrInfo::isScaledAddr(*MI)
22014            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22015          ))
22016        return 1092; // M4WriteVSTK_ReadDefault
22017      return 1092; // M4WriteVSTK_ReadDefault
22018      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22019          && (
22020            AArch64InstrInfo::isScaledAddr(*MI)
22021            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22022          ))
22023        return 1039; // M4WriteSB_ReadDefault
22024      if ((
22025            AArch64InstrInfo::isScaledAddr(*MI)
22026            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22027          ))
22028        return 1040; // M4WriteS1_ReadDefault
22029      return 1040; // M4WriteS1_ReadDefault
22030    }
22031    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22032      if ((
22033            AArch64InstrInfo::isScaledAddr(*MI)
22034            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22035          ))
22036        return 1099; // M5WriteVSTK_ReadDefault
22037      return 1099; // M5WriteVSTK_ReadDefault
22038      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22039          && (
22040            AArch64InstrInfo::isScaledAddr(*MI)
22041            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22042          ))
22043        return 1041; // M5WriteSB_ReadDefault
22044      if ((
22045            AArch64InstrInfo::isScaledAddr(*MI)
22046            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22047          ))
22048        return 1042; // M5WriteS1_ReadDefault
22049      return 1042; // M5WriteS1_ReadDefault
22050    }
22051    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22052      if (TII->isFalkorShiftExtFast(*MI))
22053        return 1097; // FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
22054      return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
22055    }
22056    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22057      if (AArch64InstrInfo::isScaledAddr(*MI))
22058        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22059      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22060      if (AArch64InstrInfo::isScaledAddr(*MI))
22061        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22062      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22063    }
22064    break;
22065  case 390: // STRQroX
22066    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22067      if (AArch64InstrInfo::isScaledAddr(*MI))
22068        return 1037; // (WriteIS_WriteST)_ReadBaseRS
22069      return 1038; // WriteST_ReadDefault
22070    }
22071    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22072      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
22073        return 1091; // M3WriteSA_ReadDefault
22074      return 1093; // WriteVST_ReadDefault
22075    }
22076    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22077      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22078          && (
22079            AArch64InstrInfo::isScaledAddr(*MI)
22080            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22081          ))
22082        return 1092; // M4WriteVSTK_ReadDefault
22083      if ((
22084            AArch64InstrInfo::isScaledAddr(*MI)
22085            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22086          ))
22087        return 1093; // WriteVST_ReadDefault
22088      return 1093; // WriteVST_ReadDefault
22089      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22090          && (
22091            AArch64InstrInfo::isScaledAddr(*MI)
22092            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22093          ))
22094        return 1039; // M4WriteSB_ReadDefault
22095      if ((
22096            AArch64InstrInfo::isScaledAddr(*MI)
22097            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22098          ))
22099        return 1040; // M4WriteS1_ReadDefault
22100      return 1040; // M4WriteS1_ReadDefault
22101    }
22102    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22103      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22104          && (
22105            AArch64InstrInfo::isScaledAddr(*MI)
22106            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22107          ))
22108        return 1099; // M5WriteVSTK_ReadDefault
22109      if ((
22110            AArch64InstrInfo::isScaledAddr(*MI)
22111            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22112          ))
22113        return 1093; // WriteVST_ReadDefault
22114      return 1093; // WriteVST_ReadDefault
22115      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22116          && (
22117            AArch64InstrInfo::isScaledAddr(*MI)
22118            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22119          ))
22120        return 1041; // M5WriteSB_ReadDefault
22121      if ((
22122            AArch64InstrInfo::isScaledAddr(*MI)
22123            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22124          ))
22125        return 1042; // M5WriteS1_ReadDefault
22126      return 1042; // M5WriteS1_ReadDefault
22127    }
22128    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22129      if (TII->isFalkorShiftExtFast(*MI))
22130        return 1097; // FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
22131      return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
22132    }
22133    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22134      if (AArch64InstrInfo::isScaledAddr(*MI))
22135        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22136      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22137      if (AArch64InstrInfo::isScaledAddr(*MI))
22138        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22139      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22140    }
22141    break;
22142  case 392: // STRSpost
22143    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22144      if (AArch64InstrInfo::isScaledAddr(*MI))
22145        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22146      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22147      if (AArch64InstrInfo::isScaledAddr(*MI))
22148        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22149      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22150    }
22151    break;
22152  case 393: // STRSpre
22153    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22154      if (AArch64InstrInfo::isScaledAddr(*MI))
22155        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22156      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22157      if (AArch64InstrInfo::isScaledAddr(*MI))
22158        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22159      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22160    }
22161    break;
22162  case 394: // STRWpost
22163    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22164      if (AArch64InstrInfo::isScaledAddr(*MI))
22165        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22166      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22167      if (AArch64InstrInfo::isScaledAddr(*MI))
22168        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22169      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22170    }
22171    break;
22172  case 395: // STRWpre
22173    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22174      if (AArch64InstrInfo::isScaledAddr(*MI))
22175        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22176      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22177      if (AArch64InstrInfo::isScaledAddr(*MI))
22178        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22179      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22180    }
22181    break;
22182  case 396: // STRXpost
22183    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22184      if (AArch64InstrInfo::isScaledAddr(*MI))
22185        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22186      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22187      if (AArch64InstrInfo::isScaledAddr(*MI))
22188        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22189      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22190    }
22191    break;
22192  case 397: // STRXpre
22193    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22194      if (AArch64InstrInfo::isScaledAddr(*MI))
22195        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22196      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22197      if (AArch64InstrInfo::isScaledAddr(*MI))
22198        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22199      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22200    }
22201    break;
22202  case 399: // MOVZWi_MOVZXi
22203    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22204      if (TII->isGPRZero(*MI))
22205        return 1043; // WriteX
22206      return 1100; // WriteImm
22207    }
22208    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22209      if (MI->getOperand(1).isImm() &&
22210                                         MI->getOperand(1).getImm() == 0)
22211        return 1101; // FalkorWr_1none_0cyc
22212      return 1102; // FalkorWr_1XYZB_0cyc
22213    }
22214    break;
22215  case 400: // ANDWri_ANDXri
22216    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22217      if (TII->isGPRZero(*MI))
22218        return 1043; // WriteX
22219      return 1100; // WriteImm
22220    }
22221    break;
22222  case 401: // ORRXrr_ADDXrr
22223    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22224      if (TII->isGPRCopy(*MI))
22225        return 1043; // WriteX
22226      if (TII->isFPRCopy(*MI))
22227        return 1043; // WriteX
22228      return 1044; // WriteI
22229    }
22230    break;
22231  case 403: // ORRv16i8
22232    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22233      if (TII->isFPRCopy(*MI))
22234        return 1043; // WriteX
22235      return 1103; // WriteV
22236    }
22237    break;
22238  case 472: // FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v
22239    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22240      if ((
22241            MI->getOperand(0).isReg()
22242            && (
22243              MI->getOperand(0).getReg() == AArch64::Q0
22244              || MI->getOperand(0).getReg() == AArch64::Q1
22245              || MI->getOperand(0).getReg() == AArch64::Q2
22246              || MI->getOperand(0).getReg() == AArch64::Q3
22247              || MI->getOperand(0).getReg() == AArch64::Q4
22248              || MI->getOperand(0).getReg() == AArch64::Q5
22249              || MI->getOperand(0).getReg() == AArch64::Q6
22250              || MI->getOperand(0).getReg() == AArch64::Q7
22251              || MI->getOperand(0).getReg() == AArch64::Q8
22252              || MI->getOperand(0).getReg() == AArch64::Q9
22253              || MI->getOperand(0).getReg() == AArch64::Q10
22254              || MI->getOperand(0).getReg() == AArch64::Q11
22255              || MI->getOperand(0).getReg() == AArch64::Q12
22256              || MI->getOperand(0).getReg() == AArch64::Q13
22257              || MI->getOperand(0).getReg() == AArch64::Q14
22258              || MI->getOperand(0).getReg() == AArch64::Q15
22259              || MI->getOperand(0).getReg() == AArch64::Q16
22260              || MI->getOperand(0).getReg() == AArch64::Q17
22261              || MI->getOperand(0).getReg() == AArch64::Q18
22262              || MI->getOperand(0).getReg() == AArch64::Q19
22263              || MI->getOperand(0).getReg() == AArch64::Q20
22264              || MI->getOperand(0).getReg() == AArch64::Q21
22265              || MI->getOperand(0).getReg() == AArch64::Q22
22266              || MI->getOperand(0).getReg() == AArch64::Q23
22267              || MI->getOperand(0).getReg() == AArch64::Q24
22268              || MI->getOperand(0).getReg() == AArch64::Q25
22269              || MI->getOperand(0).getReg() == AArch64::Q26
22270              || MI->getOperand(0).getReg() == AArch64::Q27
22271              || MI->getOperand(0).getReg() == AArch64::Q28
22272              || MI->getOperand(0).getReg() == AArch64::Q29
22273              || MI->getOperand(0).getReg() == AArch64::Q30
22274              || MI->getOperand(0).getReg() == AArch64::Q31
22275            )
22276          ))
22277        return 1069; // M4WriteNEONO
22278      return 1070; // M4WriteNEONN
22279    }
22280    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22281      if ((
22282            MI->getOperand(0).isReg()
22283            && (
22284              MI->getOperand(0).getReg() == AArch64::Q0
22285              || MI->getOperand(0).getReg() == AArch64::Q1
22286              || MI->getOperand(0).getReg() == AArch64::Q2
22287              || MI->getOperand(0).getReg() == AArch64::Q3
22288              || MI->getOperand(0).getReg() == AArch64::Q4
22289              || MI->getOperand(0).getReg() == AArch64::Q5
22290              || MI->getOperand(0).getReg() == AArch64::Q6
22291              || MI->getOperand(0).getReg() == AArch64::Q7
22292              || MI->getOperand(0).getReg() == AArch64::Q8
22293              || MI->getOperand(0).getReg() == AArch64::Q9
22294              || MI->getOperand(0).getReg() == AArch64::Q10
22295              || MI->getOperand(0).getReg() == AArch64::Q11
22296              || MI->getOperand(0).getReg() == AArch64::Q12
22297              || MI->getOperand(0).getReg() == AArch64::Q13
22298              || MI->getOperand(0).getReg() == AArch64::Q14
22299              || MI->getOperand(0).getReg() == AArch64::Q15
22300              || MI->getOperand(0).getReg() == AArch64::Q16
22301              || MI->getOperand(0).getReg() == AArch64::Q17
22302              || MI->getOperand(0).getReg() == AArch64::Q18
22303              || MI->getOperand(0).getReg() == AArch64::Q19
22304              || MI->getOperand(0).getReg() == AArch64::Q20
22305              || MI->getOperand(0).getReg() == AArch64::Q21
22306              || MI->getOperand(0).getReg() == AArch64::Q22
22307              || MI->getOperand(0).getReg() == AArch64::Q23
22308              || MI->getOperand(0).getReg() == AArch64::Q24
22309              || MI->getOperand(0).getReg() == AArch64::Q25
22310              || MI->getOperand(0).getReg() == AArch64::Q26
22311              || MI->getOperand(0).getReg() == AArch64::Q27
22312              || MI->getOperand(0).getReg() == AArch64::Q28
22313              || MI->getOperand(0).getReg() == AArch64::Q29
22314              || MI->getOperand(0).getReg() == AArch64::Q30
22315              || MI->getOperand(0).getReg() == AArch64::Q31
22316            )
22317          ))
22318        return 1071; // M5WriteNEONO
22319      return 1072; // M5WriteNEONN
22320    }
22321    break;
22322  case 561: // ADDXrr
22323    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22324      if (TII->isGPRCopy(*MI))
22325        return 1043; // WriteX
22326      if (TII->isFPRCopy(*MI))
22327        return 1043; // WriteX
22328      return 1044; // WriteI
22329    }
22330    break;
22331  case 565: // ANDSWrs_ANDSXrs_ANDWrs_ANDXrs
22332    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22333      if (AArch64InstrInfo::hasShiftedReg(*MI))
22334        return 1015; // WriteISReg_ReadI_A53ReadShifted
22335      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22336    }
22337    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22338      if (AArch64InstrInfo::hasShiftedReg(*MI))
22339        return 1057; // WriteISReg
22340      return 1044; // WriteI
22341    }
22342    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22343      if (AArch64InstrInfo::isExynosArithFast(*MI))
22344        return 1060; // M3WriteA1
22345      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22346        return 1060; // M3WriteA1
22347      return 1061; // M3WriteAA
22348    }
22349    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22350      if (AArch64InstrInfo::isExynosArithFast(*MI))
22351        return 1062; // M4WriteA1
22352      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22353        return 1062; // M4WriteA1
22354      return 1063; // M4WriteAA
22355    }
22356    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22357      if (AArch64InstrInfo::isExynosArithFast(*MI))
22358        return 1064; // M5WriteA1X
22359      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22360        return 1064; // M5WriteA1X
22361      return 1065; // M5WriteAAX
22362    }
22363    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22364      if (AArch64InstrInfo::hasShiftedReg(*MI))
22365        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22366      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22367    }
22368    break;
22369  case 567: // BICSWrs_BICSXrs_BICWrs_BICXrs
22370    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22371      if (AArch64InstrInfo::hasShiftedReg(*MI))
22372        return 1015; // WriteISReg_ReadI_A53ReadShifted
22373      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22374    }
22375    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22376      if (AArch64InstrInfo::hasShiftedReg(*MI))
22377        return 1057; // WriteISReg
22378      return 1044; // WriteI
22379    }
22380    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22381      if (AArch64InstrInfo::isExynosArithFast(*MI))
22382        return 1060; // M3WriteA1
22383      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22384        return 1060; // M3WriteA1
22385      return 1061; // M3WriteAA
22386    }
22387    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22388      if (AArch64InstrInfo::isExynosArithFast(*MI))
22389        return 1062; // M4WriteA1
22390      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22391        return 1062; // M4WriteA1
22392      return 1063; // M4WriteAA
22393    }
22394    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22395      if (AArch64InstrInfo::isExynosArithFast(*MI))
22396        return 1064; // M5WriteA1X
22397      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22398        return 1064; // M5WriteA1X
22399      return 1065; // M5WriteAAX
22400    }
22401    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22402      if (AArch64InstrInfo::hasShiftedReg(*MI))
22403        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22404      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22405    }
22406    break;
22407  case 569: // EONWrs_EONXrs
22408    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22409      if (AArch64InstrInfo::hasShiftedReg(*MI))
22410        return 1015; // WriteISReg_ReadI_A53ReadShifted
22411      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22412    }
22413    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22414      if (AArch64InstrInfo::hasShiftedReg(*MI))
22415        return 1057; // WriteISReg
22416      return 1044; // WriteI
22417    }
22418    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22419      if (AArch64InstrInfo::isExynosArithFast(*MI))
22420        return 1060; // M3WriteA1
22421      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22422        return 1060; // M3WriteA1
22423      return 1061; // M3WriteAA
22424    }
22425    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22426      if (AArch64InstrInfo::isExynosArithFast(*MI))
22427        return 1062; // M4WriteA1
22428      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22429        return 1062; // M4WriteA1
22430      return 1063; // M4WriteAA
22431    }
22432    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22433      if (AArch64InstrInfo::isExynosArithFast(*MI))
22434        return 1064; // M5WriteA1X
22435      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22436        return 1064; // M5WriteA1X
22437      return 1065; // M5WriteAAX
22438    }
22439    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22440      if (AArch64InstrInfo::hasShiftedReg(*MI))
22441        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22442      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22443    }
22444    break;
22445  case 572: // EORWrs_EORXrs
22446    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22447      if (AArch64InstrInfo::hasShiftedReg(*MI))
22448        return 1015; // WriteISReg_ReadI_A53ReadShifted
22449      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22450    }
22451    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22452      if (AArch64InstrInfo::hasShiftedReg(*MI))
22453        return 1057; // WriteISReg
22454      return 1044; // WriteI
22455    }
22456    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22457      if (AArch64InstrInfo::isExynosArithFast(*MI))
22458        return 1060; // M3WriteA1
22459      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22460        return 1060; // M3WriteA1
22461      return 1061; // M3WriteAA
22462    }
22463    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22464      if (AArch64InstrInfo::isExynosArithFast(*MI))
22465        return 1062; // M4WriteA1
22466      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22467        return 1062; // M4WriteA1
22468      return 1063; // M4WriteAA
22469    }
22470    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22471      if (AArch64InstrInfo::isExynosArithFast(*MI))
22472        return 1064; // M5WriteA1X
22473      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22474        return 1064; // M5WriteA1X
22475      return 1065; // M5WriteAAX
22476    }
22477    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22478      if (AArch64InstrInfo::hasShiftedReg(*MI))
22479        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22480      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22481    }
22482    break;
22483  case 574: // ORNWrs_ORNXrs
22484    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22485      if (AArch64InstrInfo::hasShiftedReg(*MI))
22486        return 1015; // WriteISReg_ReadI_A53ReadShifted
22487      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22488    }
22489    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22490      if (AArch64InstrInfo::hasShiftedReg(*MI))
22491        return 1057; // WriteISReg
22492      return 1044; // WriteI
22493    }
22494    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22495      if (AArch64InstrInfo::isExynosArithFast(*MI))
22496        return 1060; // M3WriteA1
22497      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22498        return 1060; // M3WriteA1
22499      return 1061; // M3WriteAA
22500    }
22501    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22502      if (AArch64InstrInfo::isExynosArithFast(*MI))
22503        return 1062; // M4WriteA1
22504      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22505        return 1062; // M4WriteA1
22506      return 1063; // M4WriteAA
22507    }
22508    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22509      if (AArch64InstrInfo::isExynosArithFast(*MI))
22510        return 1064; // M5WriteA1X
22511      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22512        return 1064; // M5WriteA1X
22513      return 1065; // M5WriteAAX
22514    }
22515    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22516      if (AArch64InstrInfo::hasShiftedReg(*MI))
22517        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22518      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22519    }
22520    break;
22521  case 575: // ORRWri_ORRXri
22522    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22523      if (AArch64InstrInfo::isZeroIdiom(*MI))
22524        return 1046; // M3WriteZ0
22525      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22526        return 1060; // M3WriteA1
22527      return 1061; // M3WriteAA
22528    }
22529    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22530      if (AArch64InstrInfo::isExynosResetFast(*MI))
22531        return 1048; // M4WriteZ0
22532      if (AArch64InstrInfo::isExynosArithFast(*MI))
22533        return 1062; // M4WriteA1
22534      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22535        return 1062; // M4WriteA1
22536      return 1063; // M4WriteAA
22537    }
22538    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22539      if (AArch64InstrInfo::isExynosResetFast(*MI))
22540        return 1050; // M5WriteZ0
22541      if (AArch64InstrInfo::isExynosArithFast(*MI))
22542        return 1064; // M5WriteA1X
22543      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22544        return 1064; // M5WriteA1X
22545      return 1065; // M5WriteAAX
22546    }
22547    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22548      if (MI->getOperand(1).getReg() == AArch64::WZR ||
22549
22550                                         MI->getOperand(1).getReg() == AArch64::XZR)
22551        return 1104; // FalkorWr_1XYZ_0cyc
22552      return 1058; // FalkorWr_1XYZ_1cyc
22553    }
22554    break;
22555  case 577: // ORRWrs_ORRXrs
22556    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22557      if (AArch64InstrInfo::hasShiftedReg(*MI))
22558        return 1015; // WriteISReg_ReadI_A53ReadShifted
22559      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22560    }
22561    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22562      if (AArch64InstrInfo::hasShiftedReg(*MI))
22563        return 1057; // WriteISReg
22564      return 1044; // WriteI
22565    }
22566    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22567      if (AArch64InstrInfo::isCopyIdiom(*MI))
22568        return 1046; // M3WriteZ0
22569      if (AArch64InstrInfo::isExynosArithFast(*MI))
22570        return 1060; // M3WriteA1
22571      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22572        return 1060; // M3WriteA1
22573      return 1061; // M3WriteAA
22574    }
22575    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22576      if (AArch64InstrInfo::isCopyIdiom(*MI))
22577        return 1048; // M4WriteZ0
22578      if (AArch64InstrInfo::isExynosArithFast(*MI))
22579        return 1062; // M4WriteA1
22580      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22581        return 1062; // M4WriteA1
22582      return 1063; // M4WriteAA
22583    }
22584    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22585      if (AArch64InstrInfo::isCopyIdiom(*MI))
22586        return 1050; // M5WriteZ0
22587      if (AArch64InstrInfo::isExynosArithFast(*MI))
22588        return 1064; // M5WriteA1X
22589      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22590        return 1064; // M5WriteA1X
22591      return 1065; // M5WriteAAX
22592    }
22593    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22594      if (AArch64InstrInfo::hasShiftedReg(*MI))
22595        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22596      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22597    }
22598    break;
22599  case 581: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs
22600    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22601      if (AArch64InstrInfo::hasShiftedReg(*MI))
22602        return 1015; // WriteISReg_ReadI_A53ReadShifted
22603      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
22604    }
22605    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
22606      if (AArch64InstrInfo::hasShiftedReg(*MI))
22607        return 1057; // WriteISReg
22608      return 1044; // WriteI
22609    }
22610    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22611      if (AArch64InstrInfo::isExynosArithFast(*MI))
22612        return 1060; // M3WriteA1
22613      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22614        return 1060; // M3WriteA1
22615      return 1061; // M3WriteAA
22616    }
22617    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22618      if (AArch64InstrInfo::isExynosArithFast(*MI))
22619        return 1062; // M4WriteA1
22620      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22621        return 1062; // M4WriteA1
22622      return 1063; // M4WriteAA
22623    }
22624    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22625      if (AArch64InstrInfo::isExynosArithFast(*MI))
22626        return 1064; // M5WriteA1X
22627      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22628        return 1064; // M5WriteA1X
22629      return 1065; // M5WriteAAX
22630    }
22631    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22632      if (TII->isFalkorShiftExtFast(*MI))
22633        return 1058; // FalkorWr_1XYZ_1cyc
22634      return 1059; // FalkorWr_2XYZ_2cyc
22635    }
22636    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22637      if (AArch64InstrInfo::hasShiftedReg(*MI))
22638        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
22639      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
22640    }
22641    break;
22642  case 582: // ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64
22643    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22644      if (AArch64InstrInfo::hasExtendedReg(*MI))
22645        return 1019; // WriteIEReg_ReadI_A53ReadShifted
22646      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
22647    }
22648    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22649      if (AArch64InstrInfo::isExynosArithFast(*MI))
22650        return 1060; // M3WriteA1
22651      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22652        return 1060; // M3WriteA1
22653      return 1061; // M3WriteAA
22654    }
22655    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22656      if (AArch64InstrInfo::isExynosArithFast(*MI))
22657        return 1062; // M4WriteA1
22658      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22659        return 1062; // M4WriteA1
22660      return 1063; // M4WriteAA
22661    }
22662    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22663      if (AArch64InstrInfo::isExynosArithFast(*MI))
22664        return 1064; // M5WriteA1X
22665      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22666        return 1064; // M5WriteA1X
22667      return 1065; // M5WriteAAX
22668    }
22669    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22670      if (TII->isFalkorShiftExtFast(*MI))
22671        return 1058; // FalkorWr_1XYZ_1cyc
22672      return 1059; // FalkorWr_2XYZ_2cyc
22673    }
22674    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22675      if (AArch64InstrInfo::hasExtendedReg(*MI))
22676        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
22677      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
22678    }
22679    break;
22680  case 583: // SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64
22681    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
22682      if (AArch64InstrInfo::hasExtendedReg(*MI))
22683        return 1019; // WriteIEReg_ReadI_A53ReadShifted
22684      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
22685    }
22686    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22687      if (AArch64InstrInfo::isExynosArithFast(*MI))
22688        return 1060; // M3WriteA1
22689      if (AArch64InstrInfo::isExynosLogicFast(*MI))
22690        return 1060; // M3WriteA1
22691      return 1061; // M3WriteAA
22692    }
22693    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22694      if (AArch64InstrInfo::isExynosArithFast(*MI))
22695        return 1062; // M4WriteA1
22696      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22697        return 1062; // M4WriteA1
22698      return 1063; // M4WriteAA
22699    }
22700    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22701      if (AArch64InstrInfo::isExynosArithFast(*MI))
22702        return 1064; // M5WriteA1X
22703      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
22704        return 1064; // M5WriteA1X
22705      return 1065; // M5WriteAAX
22706    }
22707    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22708      if (TII->isFalkorShiftExtFast(*MI))
22709        return 1058; // FalkorWr_1XYZ_1cyc
22710      return 1059; // FalkorWr_2XYZ_2cyc
22711    }
22712    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
22713      if (AArch64InstrInfo::hasExtendedReg(*MI))
22714        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
22715      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
22716    }
22717    break;
22718  case 591: // MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16
22719    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22720      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
22721        return 1046; // M3WriteZ0
22722      return 1045; // M3WriteNALU1
22723    }
22724    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22725      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
22726        return 1048; // M4WriteZ0
22727      return 1047; // M4WriteNALU1
22728    }
22729    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22730      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
22731        return 1050; // M5WriteZ0
22732      return 1105; // M5WriteNALU1
22733    }
22734    break;
22735  case 601: // MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16
22736    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22737      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
22738        return 1046; // M3WriteZ0
22739      return 1045; // M3WriteNALU1
22740    }
22741    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22742      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
22743        return 1048; // M4WriteZ0
22744      return 1047; // M4WriteNALU1
22745    }
22746    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22747      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
22748        return 1050; // M5WriteZ0
22749      return 1105; // M5WriteNALU1
22750    }
22751    break;
22752  case 614: // STRDroW_STRDroX_STRSroW_STRSroX
22753    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22754      if (AArch64InstrInfo::isScaledAddr(*MI))
22755        return 1037; // (WriteIS_WriteST)_ReadBaseRS
22756      return 1038; // WriteST_ReadDefault
22757    }
22758    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22759      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
22760        return 1093; // WriteVST_ReadDefault
22761      return 1093; // WriteVST_ReadDefault
22762    }
22763    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22764      if ((
22765            AArch64InstrInfo::isScaledAddr(*MI)
22766            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22767          ))
22768        return 1093; // WriteVST_ReadDefault
22769      return 1093; // WriteVST_ReadDefault
22770      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22771          && (
22772            AArch64InstrInfo::isScaledAddr(*MI)
22773            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22774          ))
22775        return 1039; // M4WriteSB_ReadDefault
22776      if ((
22777            AArch64InstrInfo::isScaledAddr(*MI)
22778            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22779          ))
22780        return 1040; // M4WriteS1_ReadDefault
22781      return 1040; // M4WriteS1_ReadDefault
22782    }
22783    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22784      if ((
22785            AArch64InstrInfo::isScaledAddr(*MI)
22786            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22787          ))
22788        return 1093; // WriteVST_ReadDefault
22789      return 1093; // WriteVST_ReadDefault
22790      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22791          && (
22792            AArch64InstrInfo::isScaledAddr(*MI)
22793            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22794          ))
22795        return 1041; // M5WriteSB_ReadDefault
22796      if ((
22797            AArch64InstrInfo::isScaledAddr(*MI)
22798            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22799          ))
22800        return 1042; // M5WriteS1_ReadDefault
22801      return 1042; // M5WriteS1_ReadDefault
22802    }
22803    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22804      if (TII->isFalkorShiftExtFast(*MI))
22805        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
22806      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
22807    }
22808    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22809      if (AArch64InstrInfo::isScaledAddr(*MI))
22810        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22811      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
22812      if (AArch64InstrInfo::isScaledAddr(*MI))
22813        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22814      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
22815    }
22816    break;
22817  case 635: // FMOVWSr_FMOVXDHighr_FMOVXDr
22818    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22819      if (MI->getOperand(1).getReg() == AArch64::WZR ||
22820
22821                                         MI->getOperand(1).getReg() == AArch64::XZR)
22822        return 1101; // FalkorWr_1none_0cyc
22823      return 1106; // FalkorWr_1GTOV_1cyc
22824    }
22825    break;
22826  case 649: // LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX
22827    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22828      if (AArch64InstrInfo::isScaledAddr(*MI))
22829        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
22830      return 1030; // WriteLD_ReadDefault
22831    }
22832    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22833      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
22834        return 1082; // M3WriteL5_ReadDefault
22835      return 1083; // M3WriteL4_ReadDefault
22836    }
22837    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22838      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22839          && (
22840            AArch64InstrInfo::isScaledAddr(*MI)
22841            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22842          ))
22843        return 1031; // M4WriteL5_ReadDefault
22844      if ((
22845            AArch64InstrInfo::isScaledAddr(*MI)
22846            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22847          ))
22848        return 1032; // M4WriteL4_ReadDefault
22849      return 1032; // M4WriteL4_ReadDefault
22850      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22851          && (
22852            AArch64InstrInfo::isScaledAddr(*MI)
22853            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22854          ))
22855        return 1031; // M4WriteL5_ReadDefault
22856      if ((
22857            AArch64InstrInfo::isScaledAddr(*MI)
22858            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22859          ))
22860        return 1032; // M4WriteL4_ReadDefault
22861      return 1032; // M4WriteL4_ReadDefault
22862    }
22863    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22864      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22865          && (
22866            AArch64InstrInfo::isScaledAddr(*MI)
22867            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22868          ))
22869        return 1033; // M5WriteL5_ReadDefault
22870      if ((
22871            AArch64InstrInfo::isScaledAddr(*MI)
22872            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22873          ))
22874        return 1034; // M5WriteL4_ReadDefault
22875      return 1034; // M5WriteL4_ReadDefault
22876      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22877          && (
22878            AArch64InstrInfo::isScaledAddr(*MI)
22879            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22880          ))
22881        return 1033; // M5WriteL5_ReadDefault
22882      if ((
22883            AArch64InstrInfo::isScaledAddr(*MI)
22884            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22885          ))
22886        return 1034; // M5WriteL4_ReadDefault
22887      return 1034; // M5WriteL4_ReadDefault
22888    }
22889    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22890      if (TII->isFalkorShiftExtFast(*MI))
22891        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
22892      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
22893    }
22894    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
22895      if (AArch64InstrInfo::isScaledAddr(*MI))
22896        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
22897      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
22898    }
22899    break;
22900  case 653: // PRFMroW_PRFMroX
22901    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22902      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
22903        return 1082; // M3WriteL5_ReadDefault
22904      return 1083; // M3WriteL4_ReadDefault
22905    }
22906    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22907      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22908          && (
22909            AArch64InstrInfo::isScaledAddr(*MI)
22910            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22911          ))
22912        return 1031; // M4WriteL5_ReadDefault
22913      if ((
22914            AArch64InstrInfo::isScaledAddr(*MI)
22915            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22916          ))
22917        return 1032; // M4WriteL4_ReadDefault
22918      return 1032; // M4WriteL4_ReadDefault
22919    }
22920    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22921      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22922          && (
22923            AArch64InstrInfo::isScaledAddr(*MI)
22924            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22925          ))
22926        return 1033; // M5WriteL5_ReadDefault
22927      if ((
22928            AArch64InstrInfo::isScaledAddr(*MI)
22929            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22930          ))
22931        return 1034; // M5WriteL4_ReadDefault
22932      return 1034; // M5WriteL4_ReadDefault
22933    }
22934    if (SchedModel->getProcessorID() == 7) { // FalkorModel
22935      if (TII->isFalkorShiftExtFast(*MI))
22936        return 1107; // FalkorWr_1ST_3cyc
22937      return 1108; // FalkorWr_1XYZ_1ST_4cyc
22938    }
22939    break;
22940  case 656: // LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX
22941    if (SchedModel->getProcessorID() == 1) { // CycloneModel
22942      if (AArch64InstrInfo::isScaledAddr(*MI))
22943        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
22944      return 1030; // WriteLD_ReadDefault
22945    }
22946    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
22947      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
22948        return 1082; // M3WriteL5_ReadDefault
22949      return 1083; // M3WriteL4_ReadDefault
22950    }
22951    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
22952      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22953          && (
22954            AArch64InstrInfo::isScaledAddr(*MI)
22955            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22956          ))
22957        return 1031; // M4WriteL5_ReadDefault
22958      if ((
22959            AArch64InstrInfo::isScaledAddr(*MI)
22960            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22961          ))
22962        return 1032; // M4WriteL4_ReadDefault
22963      return 1032; // M4WriteL4_ReadDefault
22964      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22965          && (
22966            AArch64InstrInfo::isScaledAddr(*MI)
22967            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22968          ))
22969        return 1031; // M4WriteL5_ReadDefault
22970      if ((
22971            AArch64InstrInfo::isScaledAddr(*MI)
22972            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22973          ))
22974        return 1032; // M4WriteL4_ReadDefault
22975      return 1032; // M4WriteL4_ReadDefault
22976    }
22977    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
22978      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22979          && (
22980            AArch64InstrInfo::isScaledAddr(*MI)
22981            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22982          ))
22983        return 1033; // M5WriteL5_ReadDefault
22984      if ((
22985            AArch64InstrInfo::isScaledAddr(*MI)
22986            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22987          ))
22988        return 1034; // M5WriteL4_ReadDefault
22989      return 1034; // M5WriteL4_ReadDefault
22990      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
22991          && (
22992            AArch64InstrInfo::isScaledAddr(*MI)
22993            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22994          ))
22995        return 1033; // M5WriteL5_ReadDefault
22996      if ((
22997            AArch64InstrInfo::isScaledAddr(*MI)
22998            || AArch64InstrInfo::isExynosScaledAddr(*MI)
22999          ))
23000        return 1034; // M5WriteL4_ReadDefault
23001      return 1034; // M5WriteL4_ReadDefault
23002    }
23003    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23004      if (TII->isFalkorShiftExtFast(*MI))
23005        return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
23006      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
23007    }
23008    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
23009      if (AArch64InstrInfo::isScaledAddr(*MI))
23010        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
23011      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
23012    }
23013    break;
23014  case 694: // STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX
23015    if (SchedModel->getProcessorID() == 1) { // CycloneModel
23016      if (AArch64InstrInfo::isScaledAddr(*MI))
23017        return 1037; // (WriteIS_WriteST)_ReadBaseRS
23018      return 1038; // WriteST_ReadDefault
23019    }
23020    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23021      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
23022        return 1038; // WriteST_ReadDefault
23023      return 1038; // WriteST_ReadDefault
23024    }
23025    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23026      if ((
23027            AArch64InstrInfo::isScaledAddr(*MI)
23028            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23029          ))
23030        return 1038; // WriteST_ReadDefault
23031      return 1038; // WriteST_ReadDefault
23032      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23033          && (
23034            AArch64InstrInfo::isScaledAddr(*MI)
23035            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23036          ))
23037        return 1039; // M4WriteSB_ReadDefault
23038      if ((
23039            AArch64InstrInfo::isScaledAddr(*MI)
23040            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23041          ))
23042        return 1040; // M4WriteS1_ReadDefault
23043      return 1040; // M4WriteS1_ReadDefault
23044    }
23045    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23046      if ((
23047            AArch64InstrInfo::isScaledAddr(*MI)
23048            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23049          ))
23050        return 1038; // WriteST_ReadDefault
23051      return 1038; // WriteST_ReadDefault
23052      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23053          && (
23054            AArch64InstrInfo::isScaledAddr(*MI)
23055            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23056          ))
23057        return 1041; // M5WriteSB_ReadDefault
23058      if ((
23059            AArch64InstrInfo::isScaledAddr(*MI)
23060            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23061          ))
23062        return 1042; // M5WriteS1_ReadDefault
23063      return 1042; // M5WriteS1_ReadDefault
23064    }
23065    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23066      if (TII->isFalkorShiftExtFast(*MI))
23067        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
23068      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
23069    }
23070    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
23071      if (AArch64InstrInfo::isScaledAddr(*MI))
23072        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
23073      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
23074      if (AArch64InstrInfo::isScaledAddr(*MI))
23075        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
23076      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
23077    }
23078    break;
23079  case 713: // ANDSWrs_ANDWrs
23080    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23081      if (AArch64InstrInfo::hasShiftedReg(*MI))
23082        return 1015; // WriteISReg_ReadI_A53ReadShifted
23083      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23084    }
23085    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23086      if (AArch64InstrInfo::hasShiftedReg(*MI))
23087        return 1057; // WriteISReg
23088      return 1044; // WriteI
23089    }
23090    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23091      if (AArch64InstrInfo::isExynosArithFast(*MI))
23092        return 1060; // M3WriteA1
23093      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23094        return 1060; // M3WriteA1
23095      return 1061; // M3WriteAA
23096    }
23097    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23098      if (AArch64InstrInfo::isExynosArithFast(*MI))
23099        return 1062; // M4WriteA1
23100      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23101        return 1062; // M4WriteA1
23102      return 1063; // M4WriteAA
23103    }
23104    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23105      if (AArch64InstrInfo::isExynosArithFast(*MI))
23106        return 1066; // M5WriteA1W
23107      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23108        return 1066; // M5WriteA1W
23109      return 1109; // M5WriteAAW
23110    }
23111    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23112      if (AArch64InstrInfo::hasShiftedReg(*MI))
23113        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23114      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23115    }
23116    break;
23117  case 714: // ANDWri
23118    if (SchedModel->getProcessorID() == 1) { // CycloneModel
23119      if (TII->isGPRZero(*MI))
23120        return 1043; // WriteX
23121      return 1100; // WriteImm
23122    }
23123    break;
23124  case 716: // BICSWrs_BICWrs
23125    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23126      if (AArch64InstrInfo::hasShiftedReg(*MI))
23127        return 1015; // WriteISReg_ReadI_A53ReadShifted
23128      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23129    }
23130    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23131      if (AArch64InstrInfo::hasShiftedReg(*MI))
23132        return 1057; // WriteISReg
23133      return 1044; // WriteI
23134    }
23135    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23136      if (AArch64InstrInfo::isExynosArithFast(*MI))
23137        return 1060; // M3WriteA1
23138      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23139        return 1060; // M3WriteA1
23140      return 1061; // M3WriteAA
23141    }
23142    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23143      if (AArch64InstrInfo::isExynosArithFast(*MI))
23144        return 1062; // M4WriteA1
23145      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23146        return 1062; // M4WriteA1
23147      return 1063; // M4WriteAA
23148    }
23149    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23150      if (AArch64InstrInfo::isExynosArithFast(*MI))
23151        return 1066; // M5WriteA1W
23152      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23153        return 1066; // M5WriteA1W
23154      return 1109; // M5WriteAAW
23155    }
23156    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23157      if (AArch64InstrInfo::hasShiftedReg(*MI))
23158        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23159      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23160    }
23161    break;
23162  case 718: // EONWrs
23163    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23164      if (AArch64InstrInfo::hasShiftedReg(*MI))
23165        return 1015; // WriteISReg_ReadI_A53ReadShifted
23166      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23167    }
23168    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23169      if (AArch64InstrInfo::hasShiftedReg(*MI))
23170        return 1057; // WriteISReg
23171      return 1044; // WriteI
23172    }
23173    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23174      if (AArch64InstrInfo::isExynosArithFast(*MI))
23175        return 1060; // M3WriteA1
23176      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23177        return 1060; // M3WriteA1
23178      return 1061; // M3WriteAA
23179    }
23180    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23181      if (AArch64InstrInfo::isExynosArithFast(*MI))
23182        return 1062; // M4WriteA1
23183      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23184        return 1062; // M4WriteA1
23185      return 1063; // M4WriteAA
23186    }
23187    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23188      if (AArch64InstrInfo::isExynosArithFast(*MI))
23189        return 1066; // M5WriteA1W
23190      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23191        return 1066; // M5WriteA1W
23192      return 1109; // M5WriteAAW
23193    }
23194    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23195      if (AArch64InstrInfo::hasShiftedReg(*MI))
23196        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23197      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23198    }
23199    break;
23200  case 721: // EORWrs
23201    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23202      if (AArch64InstrInfo::hasShiftedReg(*MI))
23203        return 1015; // WriteISReg_ReadI_A53ReadShifted
23204      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23205    }
23206    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23207      if (AArch64InstrInfo::hasShiftedReg(*MI))
23208        return 1057; // WriteISReg
23209      return 1044; // WriteI
23210    }
23211    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23212      if (AArch64InstrInfo::isExynosArithFast(*MI))
23213        return 1060; // M3WriteA1
23214      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23215        return 1060; // M3WriteA1
23216      return 1061; // M3WriteAA
23217    }
23218    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23219      if (AArch64InstrInfo::isExynosArithFast(*MI))
23220        return 1062; // M4WriteA1
23221      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23222        return 1062; // M4WriteA1
23223      return 1063; // M4WriteAA
23224    }
23225    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23226      if (AArch64InstrInfo::isExynosArithFast(*MI))
23227        return 1066; // M5WriteA1W
23228      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23229        return 1066; // M5WriteA1W
23230      return 1109; // M5WriteAAW
23231    }
23232    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23233      if (AArch64InstrInfo::hasShiftedReg(*MI))
23234        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23235      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23236    }
23237    break;
23238  case 723: // ORNWrs
23239    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23240      if (AArch64InstrInfo::hasShiftedReg(*MI))
23241        return 1015; // WriteISReg_ReadI_A53ReadShifted
23242      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23243    }
23244    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23245      if (AArch64InstrInfo::hasShiftedReg(*MI))
23246        return 1057; // WriteISReg
23247      return 1044; // WriteI
23248    }
23249    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23250      if (AArch64InstrInfo::isExynosArithFast(*MI))
23251        return 1060; // M3WriteA1
23252      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23253        return 1060; // M3WriteA1
23254      return 1061; // M3WriteAA
23255    }
23256    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23257      if (AArch64InstrInfo::isExynosArithFast(*MI))
23258        return 1062; // M4WriteA1
23259      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23260        return 1062; // M4WriteA1
23261      return 1063; // M4WriteAA
23262    }
23263    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23264      if (AArch64InstrInfo::isExynosArithFast(*MI))
23265        return 1066; // M5WriteA1W
23266      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23267        return 1066; // M5WriteA1W
23268      return 1109; // M5WriteAAW
23269    }
23270    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23271      if (AArch64InstrInfo::hasShiftedReg(*MI))
23272        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23273      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23274    }
23275    break;
23276  case 724: // ORRWrs
23277    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23278      if (AArch64InstrInfo::hasShiftedReg(*MI))
23279        return 1015; // WriteISReg_ReadI_A53ReadShifted
23280      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23281    }
23282    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23283      if (AArch64InstrInfo::hasShiftedReg(*MI))
23284        return 1057; // WriteISReg
23285      return 1044; // WriteI
23286    }
23287    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23288      if (AArch64InstrInfo::isCopyIdiom(*MI))
23289        return 1046; // M3WriteZ0
23290      if (AArch64InstrInfo::isExynosArithFast(*MI))
23291        return 1060; // M3WriteA1
23292      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23293        return 1060; // M3WriteA1
23294      return 1061; // M3WriteAA
23295    }
23296    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23297      if (AArch64InstrInfo::isCopyIdiom(*MI))
23298        return 1048; // M4WriteZ0
23299      if (AArch64InstrInfo::isExynosArithFast(*MI))
23300        return 1062; // M4WriteA1
23301      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23302        return 1062; // M4WriteA1
23303      return 1063; // M4WriteAA
23304    }
23305    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23306      if (AArch64InstrInfo::isCopyIdiom(*MI))
23307        return 1050; // M5WriteZ0
23308      if (AArch64InstrInfo::isExynosArithFast(*MI))
23309        return 1066; // M5WriteA1W
23310      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23311        return 1066; // M5WriteA1W
23312      return 1109; // M5WriteAAW
23313    }
23314    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23315      if (AArch64InstrInfo::hasShiftedReg(*MI))
23316        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23317      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23318    }
23319    break;
23320  case 725: // ORRWri
23321    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23322      if (AArch64InstrInfo::isZeroIdiom(*MI))
23323        return 1046; // M3WriteZ0
23324      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23325        return 1060; // M3WriteA1
23326      return 1061; // M3WriteAA
23327    }
23328    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23329      if (AArch64InstrInfo::isExynosResetFast(*MI))
23330        return 1048; // M4WriteZ0
23331      if (AArch64InstrInfo::isExynosArithFast(*MI))
23332        return 1062; // M4WriteA1
23333      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23334        return 1062; // M4WriteA1
23335      return 1063; // M4WriteAA
23336    }
23337    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23338      if (AArch64InstrInfo::isExynosResetFast(*MI))
23339        return 1050; // M5WriteZ0
23340      if (AArch64InstrInfo::isExynosArithFast(*MI))
23341        return 1066; // M5WriteA1W
23342      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23343        return 1066; // M5WriteA1W
23344      return 1109; // M5WriteAAW
23345    }
23346    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23347      if (MI->getOperand(1).getReg() == AArch64::WZR ||
23348
23349                                         MI->getOperand(1).getReg() == AArch64::XZR)
23350        return 1104; // FalkorWr_1XYZ_0cyc
23351      return 1058; // FalkorWr_1XYZ_1cyc
23352    }
23353    break;
23354  case 744: // FMOVXDHighr
23355    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23356      if (MI->getOperand(1).getReg() == AArch64::WZR ||
23357
23358                                         MI->getOperand(1).getReg() == AArch64::XZR)
23359        return 1101; // FalkorWr_1none_0cyc
23360      return 1106; // FalkorWr_1GTOV_1cyc
23361    }
23362    break;
23363  case 763: // ADDWrs_ADDXrs
23364    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23365      if (AArch64InstrInfo::hasShiftedReg(*MI))
23366        return 1015; // WriteISReg_ReadI_A53ReadShifted
23367      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23368    }
23369    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23370      if (AArch64InstrInfo::hasShiftedReg(*MI))
23371        return 1057; // WriteISReg
23372      return 1044; // WriteI
23373    }
23374    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23375      if (AArch64InstrInfo::isExynosArithFast(*MI))
23376        return 1060; // M3WriteA1
23377      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23378        return 1060; // M3WriteA1
23379      return 1061; // M3WriteAA
23380    }
23381    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23382      if (AArch64InstrInfo::isExynosArithFast(*MI))
23383        return 1062; // M4WriteA1
23384      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23385        return 1062; // M4WriteA1
23386      return 1063; // M4WriteAA
23387    }
23388    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23389      if (AArch64InstrInfo::isExynosArithFast(*MI))
23390        return 1064; // M5WriteA1X
23391      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23392        return 1064; // M5WriteA1X
23393      return 1065; // M5WriteAAX
23394    }
23395    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23396      if (TII->isFalkorShiftExtFast(*MI))
23397        return 1058; // FalkorWr_1XYZ_1cyc
23398      return 1059; // FalkorWr_2XYZ_2cyc
23399    }
23400    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23401      if (AArch64InstrInfo::hasShiftedReg(*MI))
23402        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23403      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23404    }
23405    break;
23406  case 764: // ANDWrs
23407    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23408      if (AArch64InstrInfo::hasShiftedReg(*MI))
23409        return 1015; // WriteISReg_ReadI_A53ReadShifted
23410      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23411    }
23412    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23413      if (AArch64InstrInfo::hasShiftedReg(*MI))
23414        return 1057; // WriteISReg
23415      return 1044; // WriteI
23416    }
23417    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23418      if (AArch64InstrInfo::isExynosArithFast(*MI))
23419        return 1060; // M3WriteA1
23420      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23421        return 1060; // M3WriteA1
23422      return 1061; // M3WriteAA
23423    }
23424    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23425      if (AArch64InstrInfo::isExynosArithFast(*MI))
23426        return 1062; // M4WriteA1
23427      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23428        return 1062; // M4WriteA1
23429      return 1063; // M4WriteAA
23430    }
23431    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23432      if (AArch64InstrInfo::isExynosArithFast(*MI))
23433        return 1066; // M5WriteA1W
23434      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23435        return 1066; // M5WriteA1W
23436      return 1109; // M5WriteAAW
23437    }
23438    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23439      if (AArch64InstrInfo::hasShiftedReg(*MI))
23440        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23441      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23442    }
23443    break;
23444  case 765: // ANDXrs
23445    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23446      if (AArch64InstrInfo::hasShiftedReg(*MI))
23447        return 1015; // WriteISReg_ReadI_A53ReadShifted
23448      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23449    }
23450    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23451      if (AArch64InstrInfo::hasShiftedReg(*MI))
23452        return 1057; // WriteISReg
23453      return 1044; // WriteI
23454    }
23455    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23456      if (AArch64InstrInfo::isExynosArithFast(*MI))
23457        return 1060; // M3WriteA1
23458      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23459        return 1060; // M3WriteA1
23460      return 1061; // M3WriteAA
23461    }
23462    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23463      if (AArch64InstrInfo::isExynosArithFast(*MI))
23464        return 1062; // M4WriteA1
23465      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23466        return 1062; // M4WriteA1
23467      return 1063; // M4WriteAA
23468    }
23469    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23470      if (AArch64InstrInfo::isExynosArithFast(*MI))
23471        return 1064; // M5WriteA1X
23472      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23473        return 1064; // M5WriteA1X
23474      return 1065; // M5WriteAAX
23475    }
23476    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23477      if (AArch64InstrInfo::hasShiftedReg(*MI))
23478        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23479      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23480    }
23481    break;
23482  case 766: // BICWrs
23483    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23484      if (AArch64InstrInfo::hasShiftedReg(*MI))
23485        return 1015; // WriteISReg_ReadI_A53ReadShifted
23486      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23487    }
23488    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23489      if (AArch64InstrInfo::hasShiftedReg(*MI))
23490        return 1057; // WriteISReg
23491      return 1044; // WriteI
23492    }
23493    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23494      if (AArch64InstrInfo::isExynosArithFast(*MI))
23495        return 1060; // M3WriteA1
23496      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23497        return 1060; // M3WriteA1
23498      return 1061; // M3WriteAA
23499    }
23500    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23501      if (AArch64InstrInfo::isExynosArithFast(*MI))
23502        return 1062; // M4WriteA1
23503      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23504        return 1062; // M4WriteA1
23505      return 1063; // M4WriteAA
23506    }
23507    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23508      if (AArch64InstrInfo::isExynosArithFast(*MI))
23509        return 1066; // M5WriteA1W
23510      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23511        return 1066; // M5WriteA1W
23512      return 1109; // M5WriteAAW
23513    }
23514    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23515      if (AArch64InstrInfo::hasShiftedReg(*MI))
23516        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23517      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23518    }
23519    break;
23520  case 767: // BICXrs
23521    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23522      if (AArch64InstrInfo::hasShiftedReg(*MI))
23523        return 1015; // WriteISReg_ReadI_A53ReadShifted
23524      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23525    }
23526    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23527      if (AArch64InstrInfo::hasShiftedReg(*MI))
23528        return 1057; // WriteISReg
23529      return 1044; // WriteI
23530    }
23531    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23532      if (AArch64InstrInfo::isExynosArithFast(*MI))
23533        return 1060; // M3WriteA1
23534      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23535        return 1060; // M3WriteA1
23536      return 1061; // M3WriteAA
23537    }
23538    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23539      if (AArch64InstrInfo::isExynosArithFast(*MI))
23540        return 1062; // M4WriteA1
23541      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23542        return 1062; // M4WriteA1
23543      return 1063; // M4WriteAA
23544    }
23545    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23546      if (AArch64InstrInfo::isExynosArithFast(*MI))
23547        return 1064; // M5WriteA1X
23548      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23549        return 1064; // M5WriteA1X
23550      return 1065; // M5WriteAAX
23551    }
23552    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23553      if (AArch64InstrInfo::hasShiftedReg(*MI))
23554        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23555      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23556    }
23557    break;
23558  case 768: // SUBWrs_SUBXrs
23559    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23560      if (AArch64InstrInfo::hasShiftedReg(*MI))
23561        return 1015; // WriteISReg_ReadI_A53ReadShifted
23562      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23563    }
23564    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23565      if (AArch64InstrInfo::hasShiftedReg(*MI))
23566        return 1057; // WriteISReg
23567      return 1044; // WriteI
23568    }
23569    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23570      if (AArch64InstrInfo::isExynosArithFast(*MI))
23571        return 1060; // M3WriteA1
23572      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23573        return 1060; // M3WriteA1
23574      return 1061; // M3WriteAA
23575    }
23576    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23577      if (AArch64InstrInfo::isExynosArithFast(*MI))
23578        return 1062; // M4WriteA1
23579      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23580        return 1062; // M4WriteA1
23581      return 1063; // M4WriteAA
23582    }
23583    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23584      if (AArch64InstrInfo::isExynosArithFast(*MI))
23585        return 1064; // M5WriteA1X
23586      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23587        return 1064; // M5WriteA1X
23588      return 1065; // M5WriteAAX
23589    }
23590    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23591      if (TII->isFalkorShiftExtFast(*MI))
23592        return 1058; // FalkorWr_1XYZ_1cyc
23593      return 1059; // FalkorWr_2XYZ_2cyc
23594    }
23595    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23596      if (AArch64InstrInfo::hasShiftedReg(*MI))
23597        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23598      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23599    }
23600    break;
23601  case 769: // ADDWri_ADDXri
23602    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23603      if (AArch64InstrInfo::isCopyIdiom(*MI))
23604        return 1046; // M3WriteZ0
23605      if (AArch64InstrInfo::isExynosArithFast(*MI))
23606        return 1060; // M3WriteA1
23607      return 1061; // M3WriteAA
23608    }
23609    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23610      if (AArch64InstrInfo::isExynosResetFast(*MI))
23611        return 1048; // M4WriteZ0
23612      if (AArch64InstrInfo::isExynosArithFast(*MI))
23613        return 1062; // M4WriteA1
23614      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23615        return 1062; // M4WriteA1
23616      return 1063; // M4WriteAA
23617    }
23618    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23619      if (AArch64InstrInfo::isExynosResetFast(*MI))
23620        return 1050; // M5WriteZ0
23621      if (AArch64InstrInfo::isExynosArithFast(*MI))
23622        return 1064; // M5WriteA1X
23623      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23624        return 1064; // M5WriteA1X
23625      return 1065; // M5WriteAAX
23626    }
23627    break;
23628  case 770: // LDRBBroW_LDRWroW_LDRXroW
23629    if (SchedModel->getProcessorID() == 1) { // CycloneModel
23630      if (AArch64InstrInfo::isScaledAddr(*MI))
23631        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
23632      return 1030; // WriteLD_ReadDefault
23633    }
23634    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23635      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
23636        return 1081; // M3WriteLB_ReadDefault
23637      return 1081; // M3WriteLB_ReadDefault
23638    }
23639    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23640      if ((
23641            AArch64InstrInfo::isScaledAddr(*MI)
23642            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23643          ))
23644        return 1031; // M4WriteL5_ReadDefault
23645      return 1031; // M4WriteL5_ReadDefault
23646      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23647          && (
23648            AArch64InstrInfo::isScaledAddr(*MI)
23649            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23650          ))
23651        return 1031; // M4WriteL5_ReadDefault
23652      if ((
23653            AArch64InstrInfo::isScaledAddr(*MI)
23654            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23655          ))
23656        return 1032; // M4WriteL4_ReadDefault
23657      return 1032; // M4WriteL4_ReadDefault
23658    }
23659    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23660      if ((
23661            AArch64InstrInfo::isScaledAddr(*MI)
23662            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23663          ))
23664        return 1033; // M5WriteL5_ReadDefault
23665      return 1033; // M5WriteL5_ReadDefault
23666      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23667          && (
23668            AArch64InstrInfo::isScaledAddr(*MI)
23669            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23670          ))
23671        return 1033; // M5WriteL5_ReadDefault
23672      if ((
23673            AArch64InstrInfo::isScaledAddr(*MI)
23674            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23675          ))
23676        return 1034; // M5WriteL4_ReadDefault
23677      return 1034; // M5WriteL4_ReadDefault
23678    }
23679    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23680      if (TII->isFalkorShiftExtFast(*MI))
23681        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
23682      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
23683    }
23684    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
23685      if (AArch64InstrInfo::isScaledAddr(*MI))
23686        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
23687      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
23688    }
23689    break;
23690  case 771: // LDRSBWroW_LDRSBXroW_LDRSWroW
23691    if (SchedModel->getProcessorID() == 1) { // CycloneModel
23692      if (AArch64InstrInfo::isScaledAddr(*MI))
23693        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
23694      return 1030; // WriteLD_ReadDefault
23695    }
23696    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23697      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
23698        return 1081; // M3WriteLB_ReadDefault
23699      return 1081; // M3WriteLB_ReadDefault
23700    }
23701    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23702      if ((
23703            AArch64InstrInfo::isScaledAddr(*MI)
23704            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23705          ))
23706        return 1031; // M4WriteL5_ReadDefault
23707      return 1031; // M4WriteL5_ReadDefault
23708      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23709          && (
23710            AArch64InstrInfo::isScaledAddr(*MI)
23711            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23712          ))
23713        return 1031; // M4WriteL5_ReadDefault
23714      if ((
23715            AArch64InstrInfo::isScaledAddr(*MI)
23716            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23717          ))
23718        return 1032; // M4WriteL4_ReadDefault
23719      return 1032; // M4WriteL4_ReadDefault
23720    }
23721    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23722      if ((
23723            AArch64InstrInfo::isScaledAddr(*MI)
23724            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23725          ))
23726        return 1033; // M5WriteL5_ReadDefault
23727      return 1033; // M5WriteL5_ReadDefault
23728      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23729          && (
23730            AArch64InstrInfo::isScaledAddr(*MI)
23731            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23732          ))
23733        return 1033; // M5WriteL5_ReadDefault
23734      if ((
23735            AArch64InstrInfo::isScaledAddr(*MI)
23736            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23737          ))
23738        return 1034; // M5WriteL4_ReadDefault
23739      return 1034; // M5WriteL4_ReadDefault
23740    }
23741    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23742      if (TII->isFalkorShiftExtFast(*MI))
23743        return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
23744      return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
23745    }
23746    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
23747      if (AArch64InstrInfo::isScaledAddr(*MI))
23748        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
23749      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
23750    }
23751    break;
23752  case 772: // PRFMroW
23753    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23754      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
23755        return 1081; // M3WriteLB_ReadDefault
23756      return 1081; // M3WriteLB_ReadDefault
23757    }
23758    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23759      if ((
23760            AArch64InstrInfo::isScaledAddr(*MI)
23761            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23762          ))
23763        return 1031; // M4WriteL5_ReadDefault
23764      return 1031; // M4WriteL5_ReadDefault
23765    }
23766    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23767      if ((
23768            AArch64InstrInfo::isScaledAddr(*MI)
23769            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23770          ))
23771        return 1033; // M5WriteL5_ReadDefault
23772      return 1033; // M5WriteL5_ReadDefault
23773    }
23774    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23775      if (TII->isFalkorShiftExtFast(*MI))
23776        return 1107; // FalkorWr_1ST_3cyc
23777      return 1108; // FalkorWr_1XYZ_1ST_4cyc
23778    }
23779    break;
23780  case 773: // STRBBroW_STRWroW_STRXroW
23781    if (SchedModel->getProcessorID() == 1) { // CycloneModel
23782      if (AArch64InstrInfo::isScaledAddr(*MI))
23783        return 1037; // (WriteIS_WriteST)_ReadBaseRS
23784      return 1038; // WriteST_ReadDefault
23785    }
23786    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23787      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
23788        return 1096; // M3WriteSB_ReadDefault
23789      return 1096; // M3WriteSB_ReadDefault
23790    }
23791    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23792      if ((
23793            AArch64InstrInfo::isScaledAddr(*MI)
23794            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23795          ))
23796        return 1039; // M4WriteSB_ReadDefault
23797      return 1039; // M4WriteSB_ReadDefault
23798      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23799          && (
23800            AArch64InstrInfo::isScaledAddr(*MI)
23801            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23802          ))
23803        return 1039; // M4WriteSB_ReadDefault
23804      if ((
23805            AArch64InstrInfo::isScaledAddr(*MI)
23806            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23807          ))
23808        return 1040; // M4WriteS1_ReadDefault
23809      return 1040; // M4WriteS1_ReadDefault
23810    }
23811    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23812      if ((
23813            AArch64InstrInfo::isScaledAddr(*MI)
23814            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23815          ))
23816        return 1041; // M5WriteSB_ReadDefault
23817      return 1041; // M5WriteSB_ReadDefault
23818      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23819          && (
23820            AArch64InstrInfo::isScaledAddr(*MI)
23821            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23822          ))
23823        return 1041; // M5WriteSB_ReadDefault
23824      if ((
23825            AArch64InstrInfo::isScaledAddr(*MI)
23826            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23827          ))
23828        return 1042; // M5WriteS1_ReadDefault
23829      return 1042; // M5WriteS1_ReadDefault
23830    }
23831    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23832      if (TII->isFalkorShiftExtFast(*MI))
23833        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
23834      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
23835    }
23836    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
23837      if (AArch64InstrInfo::isScaledAddr(*MI))
23838        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
23839      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
23840      if (AArch64InstrInfo::isScaledAddr(*MI))
23841        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
23842      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
23843    }
23844    break;
23845  case 782: // STRDroW_STRSroW
23846    if (SchedModel->getProcessorID() == 1) { // CycloneModel
23847      if (AArch64InstrInfo::isScaledAddr(*MI))
23848        return 1037; // (WriteIS_WriteST)_ReadBaseRS
23849      return 1038; // WriteST_ReadDefault
23850    }
23851    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23852      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
23853        return 1091; // M3WriteSA_ReadDefault
23854      return 1091; // M3WriteSA_ReadDefault
23855    }
23856    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23857      if ((
23858            AArch64InstrInfo::isScaledAddr(*MI)
23859            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23860          ))
23861        return 1092; // M4WriteVSTK_ReadDefault
23862      return 1092; // M4WriteVSTK_ReadDefault
23863      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23864          && (
23865            AArch64InstrInfo::isScaledAddr(*MI)
23866            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23867          ))
23868        return 1039; // M4WriteSB_ReadDefault
23869      if ((
23870            AArch64InstrInfo::isScaledAddr(*MI)
23871            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23872          ))
23873        return 1040; // M4WriteS1_ReadDefault
23874      return 1040; // M4WriteS1_ReadDefault
23875    }
23876    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23877      if ((
23878            AArch64InstrInfo::isScaledAddr(*MI)
23879            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23880          ))
23881        return 1093; // WriteVST_ReadDefault
23882      return 1093; // WriteVST_ReadDefault
23883      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
23884          && (
23885            AArch64InstrInfo::isScaledAddr(*MI)
23886            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23887          ))
23888        return 1041; // M5WriteSB_ReadDefault
23889      if ((
23890            AArch64InstrInfo::isScaledAddr(*MI)
23891            || AArch64InstrInfo::isExynosScaledAddr(*MI)
23892          ))
23893        return 1042; // M5WriteS1_ReadDefault
23894      return 1042; // M5WriteS1_ReadDefault
23895    }
23896    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23897      if (TII->isFalkorShiftExtFast(*MI))
23898        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
23899      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
23900    }
23901    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
23902      if (AArch64InstrInfo::isScaledAddr(*MI))
23903        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
23904      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
23905      if (AArch64InstrInfo::isScaledAddr(*MI))
23906        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
23907      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
23908    }
23909    break;
23910  case 783: // MVNIv2i32_MVNIv2s_msl_MVNIv4i16
23911    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23912      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
23913        return 1048; // M4WriteZ0
23914      return 1047; // M4WriteNALU1
23915    }
23916    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23917      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
23918        return 1050; // M5WriteZ0
23919      return 1105; // M5WriteNALU1
23920    }
23921    break;
23922  case 784: // MVNIv4i32_MVNIv4s_msl_MVNIv8i16
23923    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23924      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
23925        return 1048; // M4WriteZ0
23926      return 1047; // M4WriteNALU1
23927    }
23928    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23929      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
23930        return 1050; // M5WriteZ0
23931      return 1105; // M5WriteNALU1
23932    }
23933    break;
23934  case 853: // ADDWrs
23935    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23936      if (AArch64InstrInfo::hasShiftedReg(*MI))
23937        return 1015; // WriteISReg_ReadI_A53ReadShifted
23938      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23939    }
23940    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23941      if (AArch64InstrInfo::hasShiftedReg(*MI))
23942        return 1057; // WriteISReg
23943      return 1044; // WriteI
23944    }
23945    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23946      if (AArch64InstrInfo::isExynosArithFast(*MI))
23947        return 1060; // M3WriteA1
23948      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23949        return 1060; // M3WriteA1
23950      return 1061; // M3WriteAA
23951    }
23952    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23953      if (AArch64InstrInfo::isExynosArithFast(*MI))
23954        return 1062; // M4WriteA1
23955      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23956        return 1062; // M4WriteA1
23957      return 1063; // M4WriteAA
23958    }
23959    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
23960      if (AArch64InstrInfo::isExynosArithFast(*MI))
23961        return 1066; // M5WriteA1W
23962      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23963        return 1066; // M5WriteA1W
23964      return 1109; // M5WriteAAW
23965    }
23966    if (SchedModel->getProcessorID() == 7) { // FalkorModel
23967      if (TII->isFalkorShiftExtFast(*MI))
23968        return 1058; // FalkorWr_1XYZ_1cyc
23969      return 1059; // FalkorWr_2XYZ_2cyc
23970    }
23971    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
23972      if (AArch64InstrInfo::hasShiftedReg(*MI))
23973        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
23974      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
23975    }
23976    break;
23977  case 854: // SUBWrs
23978    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
23979      if (AArch64InstrInfo::hasShiftedReg(*MI))
23980        return 1015; // WriteISReg_ReadI_A53ReadShifted
23981      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
23982    }
23983    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
23984      if (AArch64InstrInfo::hasShiftedReg(*MI))
23985        return 1057; // WriteISReg
23986      return 1044; // WriteI
23987    }
23988    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
23989      if (AArch64InstrInfo::isExynosArithFast(*MI))
23990        return 1060; // M3WriteA1
23991      if (AArch64InstrInfo::isExynosLogicFast(*MI))
23992        return 1060; // M3WriteA1
23993      return 1061; // M3WriteAA
23994    }
23995    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
23996      if (AArch64InstrInfo::isExynosArithFast(*MI))
23997        return 1062; // M4WriteA1
23998      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
23999        return 1062; // M4WriteA1
24000      return 1063; // M4WriteAA
24001    }
24002    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24003      if (AArch64InstrInfo::isExynosArithFast(*MI))
24004        return 1066; // M5WriteA1W
24005      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24006        return 1066; // M5WriteA1W
24007      return 1109; // M5WriteAAW
24008    }
24009    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24010      if (TII->isFalkorShiftExtFast(*MI))
24011        return 1058; // FalkorWr_1XYZ_1cyc
24012      return 1059; // FalkorWr_2XYZ_2cyc
24013    }
24014    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
24015      if (AArch64InstrInfo::hasShiftedReg(*MI))
24016        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
24017      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
24018    }
24019    break;
24020  case 855: // ADDSWrs
24021    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
24022      if (AArch64InstrInfo::hasShiftedReg(*MI))
24023        return 1015; // WriteISReg_ReadI_A53ReadShifted
24024      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
24025    }
24026    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
24027      if (AArch64InstrInfo::hasShiftedReg(*MI))
24028        return 1057; // WriteISReg
24029      return 1044; // WriteI
24030    }
24031    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24032      if (AArch64InstrInfo::isExynosArithFast(*MI))
24033        return 1060; // M3WriteA1
24034      if (AArch64InstrInfo::isExynosLogicFast(*MI))
24035        return 1060; // M3WriteA1
24036      return 1061; // M3WriteAA
24037    }
24038    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24039      if (AArch64InstrInfo::isExynosArithFast(*MI))
24040        return 1062; // M4WriteA1
24041      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24042        return 1062; // M4WriteA1
24043      return 1063; // M4WriteAA
24044    }
24045    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24046      if (AArch64InstrInfo::isExynosArithFast(*MI))
24047        return 1066; // M5WriteA1W
24048      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24049        return 1066; // M5WriteA1W
24050      return 1109; // M5WriteAAW
24051    }
24052    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24053      if (TII->isFalkorShiftExtFast(*MI))
24054        return 1058; // FalkorWr_1XYZ_1cyc
24055      return 1059; // FalkorWr_2XYZ_2cyc
24056    }
24057    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
24058      if (AArch64InstrInfo::hasShiftedReg(*MI))
24059        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
24060      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
24061    }
24062    break;
24063  case 856: // SUBSWrs
24064    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
24065      if (AArch64InstrInfo::hasShiftedReg(*MI))
24066        return 1015; // WriteISReg_ReadI_A53ReadShifted
24067      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
24068    }
24069    if (SchedModel->getProcessorID() == 3) { // CortexA57Model
24070      if (AArch64InstrInfo::hasShiftedReg(*MI))
24071        return 1057; // WriteISReg
24072      return 1044; // WriteI
24073    }
24074    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24075      if (AArch64InstrInfo::isExynosArithFast(*MI))
24076        return 1060; // M3WriteA1
24077      if (AArch64InstrInfo::isExynosLogicFast(*MI))
24078        return 1060; // M3WriteA1
24079      return 1061; // M3WriteAA
24080    }
24081    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24082      if (AArch64InstrInfo::isExynosArithFast(*MI))
24083        return 1062; // M4WriteA1
24084      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24085        return 1062; // M4WriteA1
24086      return 1063; // M4WriteAA
24087    }
24088    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24089      if (AArch64InstrInfo::isExynosArithFast(*MI))
24090        return 1066; // M5WriteA1W
24091      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24092        return 1066; // M5WriteA1W
24093      return 1109; // M5WriteAAW
24094    }
24095    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24096      if (TII->isFalkorShiftExtFast(*MI))
24097        return 1058; // FalkorWr_1XYZ_1cyc
24098      return 1059; // FalkorWr_2XYZ_2cyc
24099    }
24100    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
24101      if (AArch64InstrInfo::hasShiftedReg(*MI))
24102        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
24103      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
24104    }
24105    break;
24106  case 857: // ADDSWrx_ADDWrx
24107    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
24108      if (AArch64InstrInfo::hasExtendedReg(*MI))
24109        return 1019; // WriteIEReg_ReadI_A53ReadShifted
24110      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
24111    }
24112    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24113      if (AArch64InstrInfo::isExynosArithFast(*MI))
24114        return 1060; // M3WriteA1
24115      if (AArch64InstrInfo::isExynosLogicFast(*MI))
24116        return 1060; // M3WriteA1
24117      return 1061; // M3WriteAA
24118    }
24119    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24120      if (AArch64InstrInfo::isExynosArithFast(*MI))
24121        return 1062; // M4WriteA1
24122      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24123        return 1062; // M4WriteA1
24124      return 1063; // M4WriteAA
24125    }
24126    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24127      if (AArch64InstrInfo::isExynosArithFast(*MI))
24128        return 1066; // M5WriteA1W
24129      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24130        return 1066; // M5WriteA1W
24131      return 1109; // M5WriteAAW
24132    }
24133    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24134      if (TII->isFalkorShiftExtFast(*MI))
24135        return 1058; // FalkorWr_1XYZ_1cyc
24136      return 1059; // FalkorWr_2XYZ_2cyc
24137    }
24138    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
24139      if (AArch64InstrInfo::hasExtendedReg(*MI))
24140        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
24141      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
24142    }
24143    break;
24144  case 858: // SUBSWrx_SUBWrx
24145    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
24146      if (AArch64InstrInfo::hasExtendedReg(*MI))
24147        return 1019; // WriteIEReg_ReadI_A53ReadShifted
24148      return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
24149    }
24150    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24151      if (AArch64InstrInfo::isExynosArithFast(*MI))
24152        return 1060; // M3WriteA1
24153      if (AArch64InstrInfo::isExynosLogicFast(*MI))
24154        return 1060; // M3WriteA1
24155      return 1061; // M3WriteAA
24156    }
24157    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24158      if (AArch64InstrInfo::isExynosArithFast(*MI))
24159        return 1062; // M4WriteA1
24160      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24161        return 1062; // M4WriteA1
24162      return 1063; // M4WriteAA
24163    }
24164    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24165      if (AArch64InstrInfo::isExynosArithFast(*MI))
24166        return 1066; // M5WriteA1W
24167      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24168        return 1066; // M5WriteA1W
24169      return 1109; // M5WriteAAW
24170    }
24171    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24172      if (TII->isFalkorShiftExtFast(*MI))
24173        return 1058; // FalkorWr_1XYZ_1cyc
24174      return 1059; // FalkorWr_2XYZ_2cyc
24175    }
24176    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
24177      if (AArch64InstrInfo::hasExtendedReg(*MI))
24178        return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
24179      return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
24180    }
24181    break;
24182  case 859: // ADDWri
24183    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24184      if (AArch64InstrInfo::isCopyIdiom(*MI))
24185        return 1046; // M3WriteZ0
24186      if (AArch64InstrInfo::isExynosArithFast(*MI))
24187        return 1060; // M3WriteA1
24188      return 1061; // M3WriteAA
24189    }
24190    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24191      if (AArch64InstrInfo::isExynosResetFast(*MI))
24192        return 1048; // M4WriteZ0
24193      if (AArch64InstrInfo::isExynosArithFast(*MI))
24194        return 1062; // M4WriteA1
24195      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24196        return 1062; // M4WriteA1
24197      return 1063; // M4WriteAA
24198    }
24199    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24200      if (AArch64InstrInfo::isExynosResetFast(*MI))
24201        return 1050; // M5WriteZ0
24202      if (AArch64InstrInfo::isExynosArithFast(*MI))
24203        return 1066; // M5WriteA1W
24204      if (AArch64InstrInfo::isExynosLogicExFast(*MI))
24205        return 1066; // M5WriteA1W
24206      return 1109; // M5WriteAAW
24207    }
24208    break;
24209  case 891: // CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr
24210    if (SchedModel->getProcessorID() == 2) { // CortexA53Model
24211      if (AArch64InstrInfo::hasShiftedReg(*MI))
24212        return 1015; // WriteISReg_ReadI_A53ReadShifted
24213      return 1016; // WriteISReg_ReadI_A53ReadNotShifted
24214    }
24215    if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
24216      if (AArch64InstrInfo::hasShiftedReg(*MI))
24217        return 1017; // WriteISReg_ReadI_THXT8XReadShifted
24218      return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
24219    }
24220    break;
24221  case 920: // LDRWroW
24222    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24223      if (AArch64InstrInfo::isScaledAddr(*MI))
24224        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
24225      return 1030; // WriteLD_ReadDefault
24226    }
24227    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24228      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24229        return 1081; // M3WriteLB_ReadDefault
24230      return 1081; // M3WriteLB_ReadDefault
24231    }
24232    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24233      if ((
24234            AArch64InstrInfo::isScaledAddr(*MI)
24235            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24236          ))
24237        return 1031; // M4WriteL5_ReadDefault
24238      return 1031; // M4WriteL5_ReadDefault
24239      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24240          && (
24241            AArch64InstrInfo::isScaledAddr(*MI)
24242            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24243          ))
24244        return 1031; // M4WriteL5_ReadDefault
24245      if ((
24246            AArch64InstrInfo::isScaledAddr(*MI)
24247            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24248          ))
24249        return 1032; // M4WriteL4_ReadDefault
24250      return 1032; // M4WriteL4_ReadDefault
24251    }
24252    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24253      if ((
24254            AArch64InstrInfo::isScaledAddr(*MI)
24255            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24256          ))
24257        return 1033; // M5WriteL5_ReadDefault
24258      return 1033; // M5WriteL5_ReadDefault
24259      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24260          && (
24261            AArch64InstrInfo::isScaledAddr(*MI)
24262            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24263          ))
24264        return 1033; // M5WriteL5_ReadDefault
24265      if ((
24266            AArch64InstrInfo::isScaledAddr(*MI)
24267            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24268          ))
24269        return 1034; // M5WriteL4_ReadDefault
24270      return 1034; // M5WriteL4_ReadDefault
24271    }
24272    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24273      if (TII->isFalkorShiftExtFast(*MI))
24274        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
24275      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
24276    }
24277    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24278      if (AArch64InstrInfo::isScaledAddr(*MI))
24279        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24280      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24281      if (AArch64InstrInfo::isScaledAddr(*MI))
24282        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24283      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24284      if (AArch64InstrInfo::isScaledAddr(*MI))
24285        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
24286      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
24287    }
24288    break;
24289  case 921: // LDRXroW
24290    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24291      if (AArch64InstrInfo::isScaledAddr(*MI))
24292        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
24293      return 1030; // WriteLD_ReadDefault
24294    }
24295    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24296      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24297        return 1081; // M3WriteLB_ReadDefault
24298      return 1081; // M3WriteLB_ReadDefault
24299    }
24300    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24301      if ((
24302            AArch64InstrInfo::isScaledAddr(*MI)
24303            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24304          ))
24305        return 1031; // M4WriteL5_ReadDefault
24306      return 1031; // M4WriteL5_ReadDefault
24307      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24308          && (
24309            AArch64InstrInfo::isScaledAddr(*MI)
24310            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24311          ))
24312        return 1031; // M4WriteL5_ReadDefault
24313      if ((
24314            AArch64InstrInfo::isScaledAddr(*MI)
24315            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24316          ))
24317        return 1032; // M4WriteL4_ReadDefault
24318      return 1032; // M4WriteL4_ReadDefault
24319    }
24320    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24321      if ((
24322            AArch64InstrInfo::isScaledAddr(*MI)
24323            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24324          ))
24325        return 1033; // M5WriteL5_ReadDefault
24326      return 1033; // M5WriteL5_ReadDefault
24327      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24328          && (
24329            AArch64InstrInfo::isScaledAddr(*MI)
24330            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24331          ))
24332        return 1033; // M5WriteL5_ReadDefault
24333      if ((
24334            AArch64InstrInfo::isScaledAddr(*MI)
24335            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24336          ))
24337        return 1034; // M5WriteL4_ReadDefault
24338      return 1034; // M5WriteL4_ReadDefault
24339    }
24340    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24341      if (TII->isFalkorShiftExtFast(*MI))
24342        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
24343      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
24344    }
24345    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24346      if (AArch64InstrInfo::isScaledAddr(*MI))
24347        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24348      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24349      if (AArch64InstrInfo::isScaledAddr(*MI))
24350        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24351      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24352      if (AArch64InstrInfo::isScaledAddr(*MI))
24353        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
24354      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
24355    }
24356    break;
24357  case 922: // LDRWroX
24358    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24359      if (AArch64InstrInfo::isScaledAddr(*MI))
24360        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
24361      return 1030; // WriteLD_ReadDefault
24362    }
24363    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24364      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24365        return 1082; // M3WriteL5_ReadDefault
24366      return 1083; // M3WriteL4_ReadDefault
24367    }
24368    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24369      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24370          && (
24371            AArch64InstrInfo::isScaledAddr(*MI)
24372            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24373          ))
24374        return 1031; // M4WriteL5_ReadDefault
24375      if ((
24376            AArch64InstrInfo::isScaledAddr(*MI)
24377            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24378          ))
24379        return 1032; // M4WriteL4_ReadDefault
24380      return 1032; // M4WriteL4_ReadDefault
24381      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24382          && (
24383            AArch64InstrInfo::isScaledAddr(*MI)
24384            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24385          ))
24386        return 1031; // M4WriteL5_ReadDefault
24387      if ((
24388            AArch64InstrInfo::isScaledAddr(*MI)
24389            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24390          ))
24391        return 1032; // M4WriteL4_ReadDefault
24392      return 1032; // M4WriteL4_ReadDefault
24393    }
24394    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24395      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24396          && (
24397            AArch64InstrInfo::isScaledAddr(*MI)
24398            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24399          ))
24400        return 1033; // M5WriteL5_ReadDefault
24401      if ((
24402            AArch64InstrInfo::isScaledAddr(*MI)
24403            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24404          ))
24405        return 1034; // M5WriteL4_ReadDefault
24406      return 1034; // M5WriteL4_ReadDefault
24407      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24408          && (
24409            AArch64InstrInfo::isScaledAddr(*MI)
24410            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24411          ))
24412        return 1033; // M5WriteL5_ReadDefault
24413      if ((
24414            AArch64InstrInfo::isScaledAddr(*MI)
24415            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24416          ))
24417        return 1034; // M5WriteL4_ReadDefault
24418      return 1034; // M5WriteL4_ReadDefault
24419    }
24420    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24421      if (TII->isFalkorShiftExtFast(*MI))
24422        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
24423      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
24424    }
24425    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24426      if (AArch64InstrInfo::isScaledAddr(*MI))
24427        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24428      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24429      if (AArch64InstrInfo::isScaledAddr(*MI))
24430        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24431      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24432      if (AArch64InstrInfo::isScaledAddr(*MI))
24433        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
24434      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
24435    }
24436    break;
24437  case 923: // LDRXroX
24438    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24439      if (AArch64InstrInfo::isScaledAddr(*MI))
24440        return 1029; // (WriteIS_WriteLD)_ReadBaseRS
24441      return 1030; // WriteLD_ReadDefault
24442    }
24443    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24444      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24445        return 1082; // M3WriteL5_ReadDefault
24446      return 1083; // M3WriteL4_ReadDefault
24447    }
24448    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24449      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24450          && (
24451            AArch64InstrInfo::isScaledAddr(*MI)
24452            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24453          ))
24454        return 1031; // M4WriteL5_ReadDefault
24455      if ((
24456            AArch64InstrInfo::isScaledAddr(*MI)
24457            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24458          ))
24459        return 1032; // M4WriteL4_ReadDefault
24460      return 1032; // M4WriteL4_ReadDefault
24461      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24462          && (
24463            AArch64InstrInfo::isScaledAddr(*MI)
24464            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24465          ))
24466        return 1031; // M4WriteL5_ReadDefault
24467      if ((
24468            AArch64InstrInfo::isScaledAddr(*MI)
24469            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24470          ))
24471        return 1032; // M4WriteL4_ReadDefault
24472      return 1032; // M4WriteL4_ReadDefault
24473    }
24474    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24475      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24476          && (
24477            AArch64InstrInfo::isScaledAddr(*MI)
24478            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24479          ))
24480        return 1033; // M5WriteL5_ReadDefault
24481      if ((
24482            AArch64InstrInfo::isScaledAddr(*MI)
24483            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24484          ))
24485        return 1034; // M5WriteL4_ReadDefault
24486      return 1034; // M5WriteL4_ReadDefault
24487      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24488          && (
24489            AArch64InstrInfo::isScaledAddr(*MI)
24490            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24491          ))
24492        return 1033; // M5WriteL5_ReadDefault
24493      if ((
24494            AArch64InstrInfo::isScaledAddr(*MI)
24495            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24496          ))
24497        return 1034; // M5WriteL4_ReadDefault
24498      return 1034; // M5WriteL4_ReadDefault
24499    }
24500    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24501      if (TII->isFalkorShiftExtFast(*MI))
24502        return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
24503      return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
24504    }
24505    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24506      if (AArch64InstrInfo::isScaledAddr(*MI))
24507        return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24508      return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
24509      if (AArch64InstrInfo::isScaledAddr(*MI))
24510        return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24511      return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
24512      if (AArch64InstrInfo::isScaledAddr(*MI))
24513        return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
24514      return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
24515    }
24516    break;
24517  case 946: // STRBBroW
24518    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24519      if (AArch64InstrInfo::isScaledAddr(*MI))
24520        return 1037; // (WriteIS_WriteST)_ReadBaseRS
24521      return 1038; // WriteST_ReadDefault
24522    }
24523    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24524      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24525        return 1096; // M3WriteSB_ReadDefault
24526      return 1096; // M3WriteSB_ReadDefault
24527    }
24528    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24529      if ((
24530            AArch64InstrInfo::isScaledAddr(*MI)
24531            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24532          ))
24533        return 1039; // M4WriteSB_ReadDefault
24534      return 1039; // M4WriteSB_ReadDefault
24535      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24536          && (
24537            AArch64InstrInfo::isScaledAddr(*MI)
24538            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24539          ))
24540        return 1039; // M4WriteSB_ReadDefault
24541      if ((
24542            AArch64InstrInfo::isScaledAddr(*MI)
24543            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24544          ))
24545        return 1040; // M4WriteS1_ReadDefault
24546      return 1040; // M4WriteS1_ReadDefault
24547    }
24548    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24549      if ((
24550            AArch64InstrInfo::isScaledAddr(*MI)
24551            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24552          ))
24553        return 1041; // M5WriteSB_ReadDefault
24554      return 1041; // M5WriteSB_ReadDefault
24555      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24556          && (
24557            AArch64InstrInfo::isScaledAddr(*MI)
24558            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24559          ))
24560        return 1041; // M5WriteSB_ReadDefault
24561      if ((
24562            AArch64InstrInfo::isScaledAddr(*MI)
24563            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24564          ))
24565        return 1042; // M5WriteS1_ReadDefault
24566      return 1042; // M5WriteS1_ReadDefault
24567    }
24568    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24569      if (TII->isFalkorShiftExtFast(*MI))
24570        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24571      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24572    }
24573    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24574      if (AArch64InstrInfo::isScaledAddr(*MI))
24575        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24576      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24577      if (AArch64InstrInfo::isScaledAddr(*MI))
24578        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24579      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24580    }
24581    break;
24582  case 947: // STRBBroX
24583    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24584      if (AArch64InstrInfo::isScaledAddr(*MI))
24585        return 1037; // (WriteIS_WriteST)_ReadBaseRS
24586      return 1038; // WriteST_ReadDefault
24587    }
24588    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24589      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24590        return 1038; // WriteST_ReadDefault
24591      return 1038; // WriteST_ReadDefault
24592    }
24593    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24594      if ((
24595            AArch64InstrInfo::isScaledAddr(*MI)
24596            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24597          ))
24598        return 1038; // WriteST_ReadDefault
24599      return 1038; // WriteST_ReadDefault
24600      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24601          && (
24602            AArch64InstrInfo::isScaledAddr(*MI)
24603            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24604          ))
24605        return 1039; // M4WriteSB_ReadDefault
24606      if ((
24607            AArch64InstrInfo::isScaledAddr(*MI)
24608            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24609          ))
24610        return 1040; // M4WriteS1_ReadDefault
24611      return 1040; // M4WriteS1_ReadDefault
24612    }
24613    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24614      if ((
24615            AArch64InstrInfo::isScaledAddr(*MI)
24616            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24617          ))
24618        return 1038; // WriteST_ReadDefault
24619      return 1038; // WriteST_ReadDefault
24620      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24621          && (
24622            AArch64InstrInfo::isScaledAddr(*MI)
24623            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24624          ))
24625        return 1041; // M5WriteSB_ReadDefault
24626      if ((
24627            AArch64InstrInfo::isScaledAddr(*MI)
24628            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24629          ))
24630        return 1042; // M5WriteS1_ReadDefault
24631      return 1042; // M5WriteS1_ReadDefault
24632    }
24633    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24634      if (TII->isFalkorShiftExtFast(*MI))
24635        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24636      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24637    }
24638    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24639      if (AArch64InstrInfo::isScaledAddr(*MI))
24640        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24641      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24642      if (AArch64InstrInfo::isScaledAddr(*MI))
24643        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24644      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24645    }
24646    break;
24647  case 948: // STRDroW
24648    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24649      if (AArch64InstrInfo::isScaledAddr(*MI))
24650        return 1037; // (WriteIS_WriteST)_ReadBaseRS
24651      return 1038; // WriteST_ReadDefault
24652    }
24653    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24654      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24655        return 1091; // M3WriteSA_ReadDefault
24656      return 1091; // M3WriteSA_ReadDefault
24657    }
24658    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24659      if ((
24660            AArch64InstrInfo::isScaledAddr(*MI)
24661            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24662          ))
24663        return 1092; // M4WriteVSTK_ReadDefault
24664      return 1092; // M4WriteVSTK_ReadDefault
24665      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24666          && (
24667            AArch64InstrInfo::isScaledAddr(*MI)
24668            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24669          ))
24670        return 1039; // M4WriteSB_ReadDefault
24671      if ((
24672            AArch64InstrInfo::isScaledAddr(*MI)
24673            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24674          ))
24675        return 1040; // M4WriteS1_ReadDefault
24676      return 1040; // M4WriteS1_ReadDefault
24677    }
24678    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24679      if ((
24680            AArch64InstrInfo::isScaledAddr(*MI)
24681            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24682          ))
24683        return 1093; // WriteVST_ReadDefault
24684      return 1093; // WriteVST_ReadDefault
24685      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24686          && (
24687            AArch64InstrInfo::isScaledAddr(*MI)
24688            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24689          ))
24690        return 1041; // M5WriteSB_ReadDefault
24691      if ((
24692            AArch64InstrInfo::isScaledAddr(*MI)
24693            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24694          ))
24695        return 1042; // M5WriteS1_ReadDefault
24696      return 1042; // M5WriteS1_ReadDefault
24697    }
24698    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24699      if (TII->isFalkorShiftExtFast(*MI))
24700        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24701      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24702    }
24703    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24704      if (AArch64InstrInfo::isScaledAddr(*MI))
24705        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24706      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24707      if (AArch64InstrInfo::isScaledAddr(*MI))
24708        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24709      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24710    }
24711    break;
24712  case 949: // STRDroX
24713    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24714      if (AArch64InstrInfo::isScaledAddr(*MI))
24715        return 1037; // (WriteIS_WriteST)_ReadBaseRS
24716      return 1038; // WriteST_ReadDefault
24717    }
24718    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24719      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24720        return 1093; // WriteVST_ReadDefault
24721      return 1093; // WriteVST_ReadDefault
24722    }
24723    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24724      if ((
24725            AArch64InstrInfo::isScaledAddr(*MI)
24726            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24727          ))
24728        return 1093; // WriteVST_ReadDefault
24729      return 1093; // WriteVST_ReadDefault
24730      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24731          && (
24732            AArch64InstrInfo::isScaledAddr(*MI)
24733            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24734          ))
24735        return 1039; // M4WriteSB_ReadDefault
24736      if ((
24737            AArch64InstrInfo::isScaledAddr(*MI)
24738            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24739          ))
24740        return 1040; // M4WriteS1_ReadDefault
24741      return 1040; // M4WriteS1_ReadDefault
24742    }
24743    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24744      if ((
24745            AArch64InstrInfo::isScaledAddr(*MI)
24746            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24747          ))
24748        return 1093; // WriteVST_ReadDefault
24749      return 1093; // WriteVST_ReadDefault
24750      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24751          && (
24752            AArch64InstrInfo::isScaledAddr(*MI)
24753            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24754          ))
24755        return 1041; // M5WriteSB_ReadDefault
24756      if ((
24757            AArch64InstrInfo::isScaledAddr(*MI)
24758            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24759          ))
24760        return 1042; // M5WriteS1_ReadDefault
24761      return 1042; // M5WriteS1_ReadDefault
24762    }
24763    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24764      if (TII->isFalkorShiftExtFast(*MI))
24765        return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24766      return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24767    }
24768    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24769      if (AArch64InstrInfo::isScaledAddr(*MI))
24770        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24771      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24772      if (AArch64InstrInfo::isScaledAddr(*MI))
24773        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24774      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24775    }
24776    break;
24777  case 950: // STRWroW
24778    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24779      if (AArch64InstrInfo::isScaledAddr(*MI))
24780        return 1037; // (WriteIS_WriteST)_ReadBaseRS
24781      return 1038; // WriteST_ReadDefault
24782    }
24783    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24784      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24785        return 1096; // M3WriteSB_ReadDefault
24786      return 1096; // M3WriteSB_ReadDefault
24787    }
24788    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24789      if ((
24790            AArch64InstrInfo::isScaledAddr(*MI)
24791            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24792          ))
24793        return 1039; // M4WriteSB_ReadDefault
24794      return 1039; // M4WriteSB_ReadDefault
24795      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24796          && (
24797            AArch64InstrInfo::isScaledAddr(*MI)
24798            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24799          ))
24800        return 1039; // M4WriteSB_ReadDefault
24801      if ((
24802            AArch64InstrInfo::isScaledAddr(*MI)
24803            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24804          ))
24805        return 1040; // M4WriteS1_ReadDefault
24806      return 1040; // M4WriteS1_ReadDefault
24807    }
24808    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24809      if ((
24810            AArch64InstrInfo::isScaledAddr(*MI)
24811            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24812          ))
24813        return 1041; // M5WriteSB_ReadDefault
24814      return 1041; // M5WriteSB_ReadDefault
24815      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24816          && (
24817            AArch64InstrInfo::isScaledAddr(*MI)
24818            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24819          ))
24820        return 1041; // M5WriteSB_ReadDefault
24821      if ((
24822            AArch64InstrInfo::isScaledAddr(*MI)
24823            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24824          ))
24825        return 1042; // M5WriteS1_ReadDefault
24826      return 1042; // M5WriteS1_ReadDefault
24827    }
24828    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24829      if (TII->isFalkorShiftExtFast(*MI))
24830        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24831      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24832    }
24833    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24834      if (AArch64InstrInfo::isScaledAddr(*MI))
24835        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24836      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24837      if (AArch64InstrInfo::isScaledAddr(*MI))
24838        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24839      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24840    }
24841    break;
24842  case 951: // STRWroX
24843    if (SchedModel->getProcessorID() == 1) { // CycloneModel
24844      if (AArch64InstrInfo::isScaledAddr(*MI))
24845        return 1037; // (WriteIS_WriteST)_ReadBaseRS
24846      return 1038; // WriteST_ReadDefault
24847    }
24848    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24849      if (AArch64InstrInfo::isExynosScaledAddr(*MI))
24850        return 1038; // WriteST_ReadDefault
24851      return 1038; // WriteST_ReadDefault
24852    }
24853    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24854      if ((
24855            AArch64InstrInfo::isScaledAddr(*MI)
24856            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24857          ))
24858        return 1038; // WriteST_ReadDefault
24859      return 1038; // WriteST_ReadDefault
24860      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24861          && (
24862            AArch64InstrInfo::isScaledAddr(*MI)
24863            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24864          ))
24865        return 1039; // M4WriteSB_ReadDefault
24866      if ((
24867            AArch64InstrInfo::isScaledAddr(*MI)
24868            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24869          ))
24870        return 1040; // M4WriteS1_ReadDefault
24871      return 1040; // M4WriteS1_ReadDefault
24872    }
24873    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24874      if ((
24875            AArch64InstrInfo::isScaledAddr(*MI)
24876            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24877          ))
24878        return 1038; // WriteST_ReadDefault
24879      return 1038; // WriteST_ReadDefault
24880      if (AArch64InstrInfo::isExynosScaledAddr(*MI)
24881          && (
24882            AArch64InstrInfo::isScaledAddr(*MI)
24883            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24884          ))
24885        return 1041; // M5WriteSB_ReadDefault
24886      if ((
24887            AArch64InstrInfo::isScaledAddr(*MI)
24888            || AArch64InstrInfo::isExynosScaledAddr(*MI)
24889          ))
24890        return 1042; // M5WriteS1_ReadDefault
24891      return 1042; // M5WriteS1_ReadDefault
24892    }
24893    if (SchedModel->getProcessorID() == 7) { // FalkorModel
24894      if (TII->isFalkorShiftExtFast(*MI))
24895        return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24896      return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
24897    }
24898    if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
24899      if (AArch64InstrInfo::isScaledAddr(*MI))
24900        return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24901      return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
24902      if (AArch64InstrInfo::isScaledAddr(*MI))
24903        return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24904      return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
24905    }
24906    break;
24907  case 962: // MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns
24908    if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
24909      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
24910        return 1046; // M3WriteZ0
24911      return 1045; // M3WriteNALU1
24912    }
24913    if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
24914      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
24915        return 1048; // M4WriteZ0
24916      return 1047; // M4WriteNALU1
24917    }
24918    if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
24919      if (AArch64InstrInfo::isZeroFPIdiom(*MI))
24920        return 1050; // M5WriteZ0
24921      return 1105; // M5WriteNALU1
24922    }
24923    break;
24924  };
24925  report_fatal_error("Expected a variant SchedClass");
24926} // AArch64GenSubtargetInfo::resolveSchedClass
24927
24928unsigned AArch64GenSubtargetInfo
24929::resolveVariantSchedClass(unsigned SchedClass, const MCInst *MI, unsigned CPUID) const {
24930  return AArch64_MC::resolveVariantSchedClassImpl(SchedClass, MI, CPUID);
24931} // AArch64GenSubtargetInfo::resolveVariantSchedClass
24932
24933} // end namespace llvm
24934
24935#endif // GET_SUBTARGETINFO_CTOR
24936
24937
24938#ifdef GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
24939#undef GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
24940
24941#endif // GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
24942
24943
24944#ifdef GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
24945#undef GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
24946
24947#endif // GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
24948
24949