1 /* SPDX-License-Identifier: GPL-2.0 */
2 static uint32_t gk110_grhub_data[] = {
3 /* 0x0000: hub_mmio_list_head */
4 	0x00000300,
5 /* 0x0004: hub_mmio_list_tail */
6 	0x00000304,
7 /* 0x0008: gpc_count */
8 	0x00000000,
9 /* 0x000c: rop_count */
10 	0x00000000,
11 /* 0x0010: cmd_queue */
12 	0x00000000,
13 	0x00000000,
14 	0x00000000,
15 	0x00000000,
16 	0x00000000,
17 	0x00000000,
18 	0x00000000,
19 	0x00000000,
20 	0x00000000,
21 	0x00000000,
22 	0x00000000,
23 	0x00000000,
24 	0x00000000,
25 	0x00000000,
26 	0x00000000,
27 	0x00000000,
28 	0x00000000,
29 	0x00000000,
30 /* 0x0058: ctx_current */
31 	0x00000000,
32 	0x00000000,
33 	0x00000000,
34 	0x00000000,
35 	0x00000000,
36 	0x00000000,
37 	0x00000000,
38 	0x00000000,
39 	0x00000000,
40 	0x00000000,
41 	0x00000000,
42 	0x00000000,
43 	0x00000000,
44 	0x00000000,
45 	0x00000000,
46 	0x00000000,
47 	0x00000000,
48 	0x00000000,
49 	0x00000000,
50 	0x00000000,
51 	0x00000000,
52 	0x00000000,
53 	0x00000000,
54 	0x00000000,
55 	0x00000000,
56 	0x00000000,
57 	0x00000000,
58 	0x00000000,
59 	0x00000000,
60 	0x00000000,
61 	0x00000000,
62 	0x00000000,
63 	0x00000000,
64 	0x00000000,
65 	0x00000000,
66 	0x00000000,
67 	0x00000000,
68 	0x00000000,
69 	0x00000000,
70 	0x00000000,
71 	0x00000000,
72 	0x00000000,
73 /* 0x0100: chan_data */
74 /* 0x0100: chan_mmio_count */
75 	0x00000000,
76 /* 0x0104: chan_mmio_address */
77 	0x00000000,
78 	0x00000000,
79 	0x00000000,
80 	0x00000000,
81 	0x00000000,
82 	0x00000000,
83 	0x00000000,
84 	0x00000000,
85 	0x00000000,
86 	0x00000000,
87 	0x00000000,
88 	0x00000000,
89 	0x00000000,
90 	0x00000000,
91 	0x00000000,
92 	0x00000000,
93 	0x00000000,
94 	0x00000000,
95 	0x00000000,
96 	0x00000000,
97 	0x00000000,
98 	0x00000000,
99 	0x00000000,
100 	0x00000000,
101 	0x00000000,
102 	0x00000000,
103 	0x00000000,
104 	0x00000000,
105 	0x00000000,
106 	0x00000000,
107 	0x00000000,
108 	0x00000000,
109 	0x00000000,
110 	0x00000000,
111 	0x00000000,
112 	0x00000000,
113 	0x00000000,
114 	0x00000000,
115 	0x00000000,
116 	0x00000000,
117 	0x00000000,
118 	0x00000000,
119 	0x00000000,
120 	0x00000000,
121 	0x00000000,
122 	0x00000000,
123 	0x00000000,
124 	0x00000000,
125 	0x00000000,
126 	0x00000000,
127 	0x00000000,
128 	0x00000000,
129 	0x00000000,
130 	0x00000000,
131 	0x00000000,
132 	0x00000000,
133 	0x00000000,
134 	0x00000000,
135 	0x00000000,
136 	0x00000000,
137 	0x00000000,
138 	0x00000000,
139 	0x00000000,
140 /* 0x0200: xfer_data */
141 	0x00000000,
142 	0x00000000,
143 	0x00000000,
144 	0x00000000,
145 	0x00000000,
146 	0x00000000,
147 	0x00000000,
148 	0x00000000,
149 	0x00000000,
150 	0x00000000,
151 	0x00000000,
152 	0x00000000,
153 	0x00000000,
154 	0x00000000,
155 	0x00000000,
156 	0x00000000,
157 	0x00000000,
158 	0x00000000,
159 	0x00000000,
160 	0x00000000,
161 	0x00000000,
162 	0x00000000,
163 	0x00000000,
164 	0x00000000,
165 	0x00000000,
166 	0x00000000,
167 	0x00000000,
168 	0x00000000,
169 	0x00000000,
170 	0x00000000,
171 	0x00000000,
172 	0x00000000,
173 	0x00000000,
174 	0x00000000,
175 	0x00000000,
176 	0x00000000,
177 	0x00000000,
178 	0x00000000,
179 	0x00000000,
180 	0x00000000,
181 	0x00000000,
182 	0x00000000,
183 	0x00000000,
184 	0x00000000,
185 	0x00000000,
186 	0x00000000,
187 	0x00000000,
188 	0x00000000,
189 	0x00000000,
190 	0x00000000,
191 	0x00000000,
192 	0x00000000,
193 	0x00000000,
194 	0x00000000,
195 	0x00000000,
196 	0x00000000,
197 	0x00000000,
198 	0x00000000,
199 	0x00000000,
200 	0x00000000,
201 	0x00000000,
202 	0x00000000,
203 	0x00000000,
204 	0x00000000,
205 /* 0x0300: hub_mmio_list_base */
206 	0x0417e91c,
207 };
208 
209 static uint32_t gk110_grhub_code[] = {
210 	0x039b0ef5,
211 /* 0x0004: queue_put */
212 	0x9800d898,
213 	0x86f001d9,
214 	0x0489b808,
215 	0xf00c1bf4,
216 	0x21f502f7,
217 	0x00f8037e,
218 /* 0x001c: queue_put_next */
219 	0xb60798c4,
220 	0x8dbb0384,
221 	0x0880b600,
222 	0x80008e80,
223 	0x90b6018f,
224 	0x0f94f001,
225 	0xf801d980,
226 /* 0x0039: queue_get */
227 	0x0131f400,
228 	0x9800d898,
229 	0x89b801d9,
230 	0x210bf404,
231 	0xb60789c4,
232 	0x9dbb0394,
233 	0x0890b600,
234 	0x98009e98,
235 	0x80b6019f,
236 	0x0f84f001,
237 	0xf400d880,
238 /* 0x0066: queue_get_done */
239 	0x00f80132,
240 /* 0x0068: nv_rd32 */
241 	0xf002ecb9,
242 	0x07f11fc9,
243 	0x03f0ca00,
244 	0x000cd001,
245 /* 0x007a: nv_rd32_wait */
246 	0xc7f104bd,
247 	0xc3f0ca00,
248 	0x00cccf01,
249 	0xf41fccc8,
250 	0xa7f0f31b,
251 	0x1021f506,
252 	0x00f7f101,
253 	0x01f3f0cb,
254 	0xf800ffcf,
255 /* 0x009d: nv_wr32 */
256 	0x0007f100,
257 	0x0103f0cc,
258 	0xbd000fd0,
259 	0x02ecb904,
260 	0xf01fc9f0,
261 	0x07f11ec9,
262 	0x03f0ca00,
263 	0x000cd001,
264 /* 0x00be: nv_wr32_wait */
265 	0xc7f104bd,
266 	0xc3f0ca00,
267 	0x00cccf01,
268 	0xf41fccc8,
269 	0x00f8f31b,
270 /* 0x00d0: wait_donez */
271 	0x99f094bd,
272 	0x0007f100,
273 	0x0203f037,
274 	0xbd0009d0,
275 	0x0007f104,
276 	0x0203f006,
277 	0xbd000ad0,
278 /* 0x00ed: wait_donez_ne */
279 	0x0087f104,
280 	0x0183f000,
281 	0xff0088cf,
282 	0x1bf4888a,
283 	0xf094bdf3,
284 	0x07f10099,
285 	0x03f01700,
286 	0x0009d002,
287 	0x00f804bd,
288 /* 0x0110: wait_doneo */
289 	0x99f094bd,
290 	0x0007f100,
291 	0x0203f037,
292 	0xbd0009d0,
293 	0x0007f104,
294 	0x0203f006,
295 	0xbd000ad0,
296 /* 0x012d: wait_doneo_e */
297 	0x0087f104,
298 	0x0183f000,
299 	0xff0088cf,
300 	0x0bf4888a,
301 	0xf094bdf3,
302 	0x07f10099,
303 	0x03f01700,
304 	0x0009d002,
305 	0x00f804bd,
306 /* 0x0150: mmctx_size */
307 /* 0x0152: nv_mmctx_size_loop */
308 	0xe89894bd,
309 	0x1a85b600,
310 	0xb60180b6,
311 	0x98bb0284,
312 	0x04e0b600,
313 	0xf404efb8,
314 	0x9fb9eb1b,
315 /* 0x016f: mmctx_xfer */
316 	0xbd00f802,
317 	0x0199f094,
318 	0x370007f1,
319 	0xd00203f0,
320 	0x04bd0009,
321 	0xbbfd94bd,
322 	0x120bf405,
323 	0xc40007f1,
324 	0xd00103f0,
325 	0x04bd000b,
326 /* 0x0197: mmctx_base_disabled */
327 	0xfd0099f0,
328 	0x0bf405ee,
329 	0x0007f11e,
330 	0x0103f0c6,
331 	0xbd000ed0,
332 	0x0007f104,
333 	0x0103f0c7,
334 	0xbd000fd0,
335 	0x0199f004,
336 /* 0x01b8: mmctx_multi_disabled */
337 	0xb600abc8,
338 	0xb9f010b4,
339 	0x01aec80c,
340 	0xfd11e4b6,
341 	0x07f105be,
342 	0x03f0c500,
343 	0x000bd001,
344 /* 0x01d6: mmctx_exec_loop */
345 /* 0x01d6: mmctx_wait_free */
346 	0xe7f104bd,
347 	0xe3f0c500,
348 	0x00eecf01,
349 	0xf41fe4f0,
350 	0xce98f30b,
351 	0x05e9fd00,
352 	0xc80007f1,
353 	0xd00103f0,
354 	0x04bd000e,
355 	0xb804c0b6,
356 	0x1bf404cd,
357 	0x02abc8d8,
358 /* 0x0207: mmctx_fini_wait */
359 	0xf11f1bf4,
360 	0xf0c500b7,
361 	0xbbcf01b3,
362 	0x1fb4f000,
363 	0xf410b4b0,
364 	0xa7f0f01b,
365 	0xd021f405,
366 /* 0x0223: mmctx_stop */
367 	0xc82b0ef4,
368 	0xb4b600ab,
369 	0x0cb9f010,
370 	0xf112b9f0,
371 	0xf0c50007,
372 	0x0bd00103,
373 /* 0x023b: mmctx_stop_wait */
374 	0xf104bd00,
375 	0xf0c500b7,
376 	0xbbcf01b3,
377 	0x12bbc800,
378 /* 0x024b: mmctx_done */
379 	0xbdf31bf4,
380 	0x0199f094,
381 	0x170007f1,
382 	0xd00203f0,
383 	0x04bd0009,
384 /* 0x025e: strand_wait */
385 	0xa0f900f8,
386 	0xf402a7f0,
387 	0xa0fcd021,
388 /* 0x026a: strand_pre */
389 	0x97f000f8,
390 	0xfc07f10c,
391 	0x0203f04a,
392 	0xbd0009d0,
393 	0x5e21f504,
394 /* 0x027f: strand_post */
395 	0xf000f802,
396 	0x07f10d97,
397 	0x03f04afc,
398 	0x0009d002,
399 	0x21f504bd,
400 	0x00f8025e,
401 /* 0x0294: strand_set */
402 	0xf10fc7f0,
403 	0xf04ffc07,
404 	0x0cd00203,
405 	0xf004bd00,
406 	0x07f10bc7,
407 	0x03f04afc,
408 	0x000cd002,
409 	0x07f104bd,
410 	0x03f04ffc,
411 	0x000ed002,
412 	0xc7f004bd,
413 	0xfc07f10a,
414 	0x0203f04a,
415 	0xbd000cd0,
416 	0x5e21f504,
417 /* 0x02d3: strand_ctx_init */
418 	0xbd00f802,
419 	0x0399f094,
420 	0x370007f1,
421 	0xd00203f0,
422 	0x04bd0009,
423 	0x026a21f5,
424 	0xf503e7f0,
425 	0xbd029421,
426 	0xfc07f1c4,
427 	0x0203f047,
428 	0xbd000cd0,
429 	0x01c7f004,
430 	0x4afc07f1,
431 	0xd00203f0,
432 	0x04bd000c,
433 	0x025e21f5,
434 	0xf1010c92,
435 	0xf046fc07,
436 	0x0cd00203,
437 	0xf004bd00,
438 	0x07f102c7,
439 	0x03f04afc,
440 	0x000cd002,
441 	0x21f504bd,
442 	0x21f5025e,
443 	0x87f1027f,
444 	0x83f04200,
445 	0x0097f102,
446 	0x0293f020,
447 	0x950099cf,
448 /* 0x034a: ctx_init_strand_loop */
449 	0x8ed008fe,
450 	0x408ed000,
451 	0xb6808acf,
452 	0xa0b606a5,
453 	0x00eabb01,
454 	0xb60480b6,
455 	0x1bf40192,
456 	0x08e4b6e8,
457 	0xbdf2efbc,
458 	0x0399f094,
459 	0x170007f1,
460 	0xd00203f0,
461 	0x04bd0009,
462 /* 0x037e: error */
463 	0x07f100f8,
464 	0x03f00500,
465 	0x000fd002,
466 	0xf7f004bd,
467 	0x0007f101,
468 	0x0303f007,
469 	0xbd000fd0,
470 /* 0x039b: init */
471 	0xbd00f804,
472 	0x0007fe04,
473 	0x420017f1,
474 	0xcf0013f0,
475 	0x11e70011,
476 	0x14b60109,
477 	0x0014fe08,
478 	0xf10227f0,
479 	0xf0120007,
480 	0x02d00003,
481 	0xf104bd00,
482 	0xfe06c817,
483 	0x24bd0010,
484 	0x070007f1,
485 	0xd00003f0,
486 	0x04bd0002,
487 	0x200327f1,
488 	0x010007f1,
489 	0xd00103f0,
490 	0x04bd0002,
491 	0x200427f1,
492 	0x010407f1,
493 	0xd00103f0,
494 	0x04bd0002,
495 	0x200b27f1,
496 	0x010807f1,
497 	0xd00103f0,
498 	0x04bd0002,
499 	0x200c27f1,
500 	0x011c07f1,
501 	0xd00103f0,
502 	0x04bd0002,
503 	0xf1010392,
504 	0xf0090007,
505 	0x03d00303,
506 	0xf104bd00,
507 	0xf0870427,
508 	0x07f10023,
509 	0x03f00400,
510 	0x0002d000,
511 	0x27f004bd,
512 	0x0007f104,
513 	0x0003f003,
514 	0xbd0002d0,
515 	0x1031f404,
516 	0x9604e7f1,
517 	0xf440e3f0,
518 	0xfeb96821,
519 	0x90f1c702,
520 	0xf0030180,
521 	0x0f801ff4,
522 	0x0117f002,
523 	0xb6041fbb,
524 	0x07f10112,
525 	0x03f00300,
526 	0x0001d001,
527 	0x07f104bd,
528 	0x03f00400,
529 	0x0001d001,
530 	0x17f104bd,
531 	0xf7f00100,
532 	0xdb21f502,
533 	0xed21f507,
534 	0x10f7f007,
535 	0x083a21f5,
536 	0x98000e98,
537 	0x21f5010f,
538 	0x14950150,
539 	0x0007f108,
540 	0x0103f0c0,
541 	0xbd0004d0,
542 	0x0007f104,
543 	0x0103f0c1,
544 	0xbd0004d0,
545 	0x0030b704,
546 	0x001fbb13,
547 	0xf102f5b6,
548 	0xf0d30007,
549 	0x0fd00103,
550 	0xb604bd00,
551 	0x10b60815,
552 	0x0814b601,
553 	0xf5021fb9,
554 	0xbb02d321,
555 	0x0398001f,
556 	0x0047f102,
557 	0x5043f020,
558 /* 0x04f4: init_gpc */
559 	0x08044ea0,
560 	0xf4021fb9,
561 	0x4ea09d21,
562 	0xf4bd010c,
563 	0xa09d21f4,
564 	0xf401044e,
565 	0x4ea09d21,
566 	0xf7f00100,
567 	0x9d21f402,
568 	0x08004ea0,
569 /* 0x051c: init_gpc_wait */
570 	0xc86821f4,
571 	0x0bf41fff,
572 	0x044ea0fa,
573 	0x6821f408,
574 	0xb7001fbb,
575 	0xb6800040,
576 	0x1bf40132,
577 	0x00f7f0be,
578 	0x083a21f5,
579 	0xf500f7f0,
580 	0xf107db21,
581 	0xf0010007,
582 	0x01d00203,
583 	0xbd04bd00,
584 	0x1f19f014,
585 	0x300007f1,
586 	0xd00203f0,
587 	0x04bd0001,
588 /* 0x0564: wait */
589 	0xf40028f4,
590 /* 0x056a: main */
591 	0xd7f00031,
592 	0x3921f410,
593 	0xb1f401f4,
594 	0xf54001e4,
595 	0xbd00e91b,
596 	0x0499f094,
597 	0x370007f1,
598 	0xd00203f0,
599 	0x04bd0009,
600 	0xc00017f1,
601 	0xcf0213f0,
602 	0x27f10011,
603 	0x23f0c100,
604 	0x0022cf02,
605 	0xf51f13c8,
606 	0xc800890b,
607 	0x0bf41f23,
608 	0xb920f962,
609 	0x94bd0212,
610 	0xf10799f0,
611 	0xf0370007,
612 	0x09d00203,
613 	0xf404bd00,
614 	0x31f40132,
615 	0x0621f502,
616 	0xf094bd0a,
617 	0x07f10799,
618 	0x03f01700,
619 	0x0009d002,
620 	0x20fc04bd,
621 	0x99f094bd,
622 	0x0007f106,
623 	0x0203f037,
624 	0xbd0009d0,
625 	0x0131f404,
626 	0x0a0621f5,
627 	0x99f094bd,
628 	0x0007f106,
629 	0x0203f017,
630 	0xbd0009d0,
631 	0x330ef404,
632 /* 0x060c: chsw_prev_no_next */
633 	0x12b920f9,
634 	0x0132f402,
635 	0xf50232f4,
636 	0xfc0a0621,
637 	0x0007f120,
638 	0x0203f0c0,
639 	0xbd0002d0,
640 	0x130ef404,
641 /* 0x062c: chsw_no_prev */
642 	0xf41f23c8,
643 	0x31f40d0b,
644 	0x0232f401,
645 	0x0a0621f5,
646 /* 0x063c: chsw_done */
647 	0xf10127f0,
648 	0xf0c30007,
649 	0x02d00203,
650 	0xbd04bd00,
651 	0x0499f094,
652 	0x170007f1,
653 	0xd00203f0,
654 	0x04bd0009,
655 	0xff0e0ef5,
656 /* 0x0660: main_not_ctx_switch */
657 	0xf401e4b0,
658 	0xf2b90d1b,
659 	0x9e21f502,
660 	0x460ef409,
661 /* 0x0670: main_not_ctx_chan */
662 	0xf402e4b0,
663 	0x94bd321b,
664 	0xf10799f0,
665 	0xf0370007,
666 	0x09d00203,
667 	0xf404bd00,
668 	0x32f40132,
669 	0x0621f502,
670 	0xf094bd0a,
671 	0x07f10799,
672 	0x03f01700,
673 	0x0009d002,
674 	0x0ef404bd,
675 /* 0x06a5: main_not_ctx_save */
676 	0x10ef9411,
677 	0xf501f5f0,
678 	0xf5037e21,
679 /* 0x06b3: main_done */
680 	0xbdfebb0e,
681 	0x1f29f024,
682 	0x300007f1,
683 	0xd00203f0,
684 	0x04bd0002,
685 	0xfea60ef5,
686 /* 0x06c8: ih */
687 	0x80f900f9,
688 	0xf90188fe,
689 	0xf990f980,
690 	0xf9b0f9a0,
691 	0xf9e0f9d0,
692 	0xf104bdf0,
693 	0xf00200a7,
694 	0xaacf00a3,
695 	0x04abc400,
696 	0xf0300bf4,
697 	0xe7f110d7,
698 	0xe3f01a00,
699 	0x00eecf00,
700 	0x1900f7f1,
701 	0xcf00f3f0,
702 	0x21f400ff,
703 	0x00b0b704,
704 	0x01e7f004,
705 	0x1d0007f1,
706 	0xd00003f0,
707 	0x04bd000e,
708 /* 0x071c: ih_no_fifo */
709 	0x0100abe4,
710 	0xf00d0bf4,
711 	0xe7f110d7,
712 	0x21f44001,
713 /* 0x072d: ih_no_ctxsw */
714 	0x00abe404,
715 	0x6c0bf404,
716 	0x0708e7f1,
717 	0xf440e3f0,
718 	0xffb96821,
719 	0x0007f102,
720 	0x0203f004,
721 	0xbd000fd0,
722 	0x04e7f104,
723 	0x40e3f007,
724 	0xb96821f4,
725 	0x07f102ff,
726 	0x03f00300,
727 	0x000fd002,
728 	0xfec704bd,
729 	0x02ee9450,
730 	0x0700f7f1,
731 	0xbb40f3f0,
732 	0x21f400ef,
733 	0x0007f168,
734 	0x0203f002,
735 	0xbd000fd0,
736 	0x03f7f004,
737 	0x037e21f5,
738 	0x0100b7f1,
739 	0xf102bfb9,
740 	0xf00144e7,
741 	0x21f440e3,
742 /* 0x079d: ih_no_fwmthd */
743 	0x04b7f19d,
744 	0xffb0bd05,
745 	0x0bf4b4ab,
746 	0x0007f10f,
747 	0x0303f007,
748 	0xbd000bd0,
749 /* 0x07b5: ih_no_other */
750 	0x0007f104,
751 	0x0003f001,
752 	0xbd000ad0,
753 	0xfcf0fc04,
754 	0xfcd0fce0,
755 	0xfca0fcb0,
756 	0xfe80fc90,
757 	0x80fc0088,
758 	0x32f400fc,
759 /* 0x07db: ctx_4170s */
760 	0xf001f800,
761 	0xffb910f5,
762 	0x70e7f102,
763 	0x40e3f041,
764 	0xf89d21f4,
765 /* 0x07ed: ctx_4170w */
766 	0x70e7f100,
767 	0x40e3f041,
768 	0xb96821f4,
769 	0xf4f002ff,
770 	0xf01bf410,
771 /* 0x0802: ctx_redswitch */
772 	0xe7f100f8,
773 	0xe5f00200,
774 	0x20e5f040,
775 	0xf110e5f0,
776 	0xf0850007,
777 	0x0ed00103,
778 	0xf004bd00,
779 /* 0x081e: ctx_redswitch_delay */
780 	0xf2b608f7,
781 	0xfd1bf401,
782 	0x0400e5f1,
783 	0x0100e5f1,
784 	0x850007f1,
785 	0xd00103f0,
786 	0x04bd000e,
787 /* 0x083a: ctx_86c */
788 	0x07f100f8,
789 	0x03f02300,
790 	0x000fd002,
791 	0xffb904bd,
792 	0x14e7f102,
793 	0x40e3f08a,
794 	0xb99d21f4,
795 	0xe7f102ff,
796 	0xe3f0a88c,
797 	0x9d21f441,
798 /* 0x0862: ctx_mem */
799 	0x07f100f8,
800 	0x03f08400,
801 	0x000fd002,
802 /* 0x086e: ctx_mem_wait */
803 	0xf7f104bd,
804 	0xf3f08400,
805 	0x00ffcf02,
806 	0xf405fffd,
807 	0x00f8f31b,
808 /* 0x0880: ctx_load */
809 	0x99f094bd,
810 	0x0007f105,
811 	0x0203f037,
812 	0xbd0009d0,
813 	0x0ca7f004,
814 	0xbdd021f4,
815 	0x0007f1f4,
816 	0x0203f089,
817 	0xbd000fd0,
818 	0x0007f104,
819 	0x0203f0c1,
820 	0xbd0002d0,
821 	0x0007f104,
822 	0x0203f083,
823 	0xbd0002d0,
824 	0x07f7f004,
825 	0x086221f5,
826 	0xc00007f1,
827 	0xd00203f0,
828 	0x04bd0002,
829 	0xf0000bfe,
830 	0x24b61f2a,
831 	0x0220b604,
832 	0x99f094bd,
833 	0x0007f108,
834 	0x0203f037,
835 	0xbd0009d0,
836 	0x0007f104,
837 	0x0203f081,
838 	0xbd0002d0,
839 	0x0027f104,
840 	0x0023f100,
841 	0x0225f080,
842 	0x880007f1,
843 	0xd00203f0,
844 	0x04bd0002,
845 	0xf11017f0,
846 	0xf0020027,
847 	0x12fa0223,
848 	0xbd03f805,
849 	0x0899f094,
850 	0x170007f1,
851 	0xd00203f0,
852 	0x04bd0009,
853 	0xb6810198,
854 	0x02981814,
855 	0x0825b680,
856 	0x800512fd,
857 	0x94bd1601,
858 	0xf10999f0,
859 	0xf0370007,
860 	0x09d00203,
861 	0xf104bd00,
862 	0xf0810007,
863 	0x01d00203,
864 	0xf004bd00,
865 	0x07f10127,
866 	0x03f08800,
867 	0x0002d002,
868 	0x17f104bd,
869 	0x13f00100,
870 	0x0501fa06,
871 	0x94bd03f8,
872 	0xf10999f0,
873 	0xf0170007,
874 	0x09d00203,
875 	0xbd04bd00,
876 	0x0599f094,
877 	0x170007f1,
878 	0xd00203f0,
879 	0x04bd0009,
880 /* 0x099e: ctx_chan */
881 	0x21f500f8,
882 	0xa7f00880,
883 	0xd021f40c,
884 	0xf505f7f0,
885 	0xf8086221,
886 /* 0x09b1: ctx_mmio_exec */
887 	0x41039800,
888 	0x810007f1,
889 	0xd00203f0,
890 	0x04bd0003,
891 /* 0x09c2: ctx_mmio_loop */
892 	0x34c434bd,
893 	0x0f1bf4ff,
894 	0x020057f1,
895 	0xfa0653f0,
896 	0x03f80535,
897 /* 0x09d4: ctx_mmio_pull */
898 	0x98804e98,
899 	0x21f4814f,
900 	0x0830b69d,
901 	0xf40112b6,
902 /* 0x09e6: ctx_mmio_done */
903 	0x0398df1b,
904 	0x0007f116,
905 	0x0203f081,
906 	0xbd0003d0,
907 	0x40008004,
908 	0x010017f1,
909 	0xfa0613f0,
910 	0x03f80601,
911 /* 0x0a06: ctx_xfer */
912 	0xe7f000f8,
913 	0x0007f104,
914 	0x0303f002,
915 	0xbd000ed0,
916 /* 0x0a15: ctx_xfer_idle */
917 	0x00e7f104,
918 	0x03e3f000,
919 	0xf100eecf,
920 	0xf42000e4,
921 	0x11f4f21b,
922 	0x0d02f406,
923 /* 0x0a2c: ctx_xfer_pre */
924 	0xf510f7f0,
925 	0xf4083a21,
926 /* 0x0a36: ctx_xfer_pre_load */
927 	0xf7f01c11,
928 	0xdb21f502,
929 	0xed21f507,
930 	0x0221f507,
931 	0xf5f4bd08,
932 	0xf507db21,
933 /* 0x0a4f: ctx_xfer_exec */
934 	0x98088021,
935 	0x24bd1601,
936 	0x050007f1,
937 	0xd00103f0,
938 	0x04bd0002,
939 	0xf1021fb9,
940 	0xf0a500e7,
941 	0x21f441e3,
942 	0x01fcf09d,
943 	0xb6022cf0,
944 	0xf2fd0124,
945 	0x02ffb905,
946 	0xa504e7f1,
947 	0xf441e3f0,
948 	0x21f59d21,
949 	0x24bd026a,
950 	0x47fc07f1,
951 	0xd00203f0,
952 	0x04bd0002,
953 	0xb6012cf0,
954 	0x07f10320,
955 	0x03f04afc,
956 	0x0002d002,
957 	0xacf004bd,
958 	0x06a5f001,
959 	0x9800b7f0,
960 	0x0d98000c,
961 	0x00e7f001,
962 	0x016f21f5,
963 	0xf508a7f0,
964 	0xf5011021,
965 	0xf4025e21,
966 	0xa7f01301,
967 	0xd021f40c,
968 	0xf505f7f0,
969 	0xf4086221,
970 /* 0x0ade: ctx_xfer_post */
971 	0xf7f02e02,
972 	0xdb21f502,
973 	0xf5f4bd07,
974 	0xf5083a21,
975 	0xf5027f21,
976 	0xbd07ed21,
977 	0xdb21f5f4,
978 	0x1011f407,
979 	0xfd400198,
980 	0x0bf40511,
981 	0xb121f507,
982 /* 0x0b09: ctx_xfer_no_post_mmio */
983 /* 0x0b09: ctx_xfer_done */
984 	0x0000f809,
985 	0x00000000,
986 	0x00000000,
987 	0x00000000,
988 	0x00000000,
989 	0x00000000,
990 	0x00000000,
991 	0x00000000,
992 	0x00000000,
993 	0x00000000,
994 	0x00000000,
995 	0x00000000,
996 	0x00000000,
997 	0x00000000,
998 	0x00000000,
999 	0x00000000,
1000 	0x00000000,
1001 	0x00000000,
1002 	0x00000000,
1003 	0x00000000,
1004 	0x00000000,
1005 	0x00000000,
1006 	0x00000000,
1007 	0x00000000,
1008 	0x00000000,
1009 	0x00000000,
1010 	0x00000000,
1011 	0x00000000,
1012 	0x00000000,
1013 	0x00000000,
1014 	0x00000000,
1015 	0x00000000,
1016 	0x00000000,
1017 	0x00000000,
1018 	0x00000000,
1019 	0x00000000,
1020 	0x00000000,
1021 	0x00000000,
1022 	0x00000000,
1023 	0x00000000,
1024 	0x00000000,
1025 	0x00000000,
1026 	0x00000000,
1027 	0x00000000,
1028 	0x00000000,
1029 	0x00000000,
1030 	0x00000000,
1031 	0x00000000,
1032 	0x00000000,
1033 	0x00000000,
1034 	0x00000000,
1035 	0x00000000,
1036 	0x00000000,
1037 	0x00000000,
1038 	0x00000000,
1039 	0x00000000,
1040 	0x00000000,
1041 	0x00000000,
1042 	0x00000000,
1043 	0x00000000,
1044 	0x00000000,
1045 	0x00000000,
1046 };
1047