xref: /qemu/hw/arm/boot.c (revision 83ecdb18)
1 /*
2  * ARM kernel loader.
3  *
4  * Copyright (c) 2006-2007 CodeSourcery.
5  * Written by Paul Brook
6  *
7  * This code is licensed under the GPL.
8  */
9 
10 #include "qemu/osdep.h"
11 #include "qemu/datadir.h"
12 #include "qemu/error-report.h"
13 #include "qapi/error.h"
14 #include <libfdt.h>
15 #include "hw/arm/boot.h"
16 #include "hw/arm/linux-boot-if.h"
17 #include "sysemu/kvm.h"
18 #include "sysemu/tcg.h"
19 #include "sysemu/sysemu.h"
20 #include "sysemu/numa.h"
21 #include "hw/boards.h"
22 #include "sysemu/reset.h"
23 #include "hw/loader.h"
24 #include "elf.h"
25 #include "sysemu/device_tree.h"
26 #include "qemu/config-file.h"
27 #include "qemu/option.h"
28 #include "qemu/units.h"
29 
30 /* Kernel boot protocol is specified in the kernel docs
31  * Documentation/arm/Booting and Documentation/arm64/booting.txt
32  * They have different preferred image load offsets from system RAM base.
33  */
34 #define KERNEL_ARGS_ADDR   0x100
35 #define KERNEL_NOLOAD_ADDR 0x02000000
36 #define KERNEL_LOAD_ADDR   0x00010000
37 #define KERNEL64_LOAD_ADDR 0x00080000
38 
39 #define ARM64_TEXT_OFFSET_OFFSET    8
40 #define ARM64_MAGIC_OFFSET          56
41 
42 #define BOOTLOADER_MAX_SIZE         (4 * KiB)
43 
44 AddressSpace *arm_boot_address_space(ARMCPU *cpu,
45                                      const struct arm_boot_info *info)
46 {
47     /* Return the address space to use for bootloader reads and writes.
48      * We prefer the secure address space if the CPU has it and we're
49      * going to boot the guest into it.
50      */
51     int asidx;
52     CPUState *cs = CPU(cpu);
53 
54     if (arm_feature(&cpu->env, ARM_FEATURE_EL3) && info->secure_boot) {
55         asidx = ARMASIdx_S;
56     } else {
57         asidx = ARMASIdx_NS;
58     }
59 
60     return cpu_get_address_space(cs, asidx);
61 }
62 
63 static const ARMInsnFixup bootloader_aarch64[] = {
64     { 0x580000c0 }, /* ldr x0, arg ; Load the lower 32-bits of DTB */
65     { 0xaa1f03e1 }, /* mov x1, xzr */
66     { 0xaa1f03e2 }, /* mov x2, xzr */
67     { 0xaa1f03e3 }, /* mov x3, xzr */
68     { 0x58000084 }, /* ldr x4, entry ; Load the lower 32-bits of kernel entry */
69     { 0xd61f0080 }, /* br x4      ; Jump to the kernel entry point */
70     { 0, FIXUP_ARGPTR_LO }, /* arg: .word @DTB Lower 32-bits */
71     { 0, FIXUP_ARGPTR_HI}, /* .word @DTB Higher 32-bits */
72     { 0, FIXUP_ENTRYPOINT_LO }, /* entry: .word @Kernel Entry Lower 32-bits */
73     { 0, FIXUP_ENTRYPOINT_HI }, /* .word @Kernel Entry Higher 32-bits */
74     { 0, FIXUP_TERMINATOR }
75 };
76 
77 /* A very small bootloader: call the board-setup code (if needed),
78  * set r0-r2, then jump to the kernel.
79  * If we're not calling boot setup code then we don't copy across
80  * the first BOOTLOADER_NO_BOARD_SETUP_OFFSET insns in this array.
81  */
82 
83 static const ARMInsnFixup bootloader[] = {
84     { 0xe28fe004 }, /* add     lr, pc, #4 */
85     { 0xe51ff004 }, /* ldr     pc, [pc, #-4] */
86     { 0, FIXUP_BOARD_SETUP },
87 #define BOOTLOADER_NO_BOARD_SETUP_OFFSET 3
88     { 0xe3a00000 }, /* mov     r0, #0 */
89     { 0xe59f1004 }, /* ldr     r1, [pc, #4] */
90     { 0xe59f2004 }, /* ldr     r2, [pc, #4] */
91     { 0xe59ff004 }, /* ldr     pc, [pc, #4] */
92     { 0, FIXUP_BOARDID },
93     { 0, FIXUP_ARGPTR_LO },
94     { 0, FIXUP_ENTRYPOINT_LO },
95     { 0, FIXUP_TERMINATOR }
96 };
97 
98 /* Handling for secondary CPU boot in a multicore system.
99  * Unlike the uniprocessor/primary CPU boot, this is platform
100  * dependent. The default code here is based on the secondary
101  * CPU boot protocol used on realview/vexpress boards, with
102  * some parameterisation to increase its flexibility.
103  * QEMU platform models for which this code is not appropriate
104  * should override write_secondary_boot and secondary_cpu_reset_hook
105  * instead.
106  *
107  * This code enables the interrupt controllers for the secondary
108  * CPUs and then puts all the secondary CPUs into a loop waiting
109  * for an interprocessor interrupt and polling a configurable
110  * location for the kernel secondary CPU entry point.
111  */
112 #define DSB_INSN 0xf57ff04f
113 #define CP15_DSB_INSN 0xee070f9a /* mcr cp15, 0, r0, c7, c10, 4 */
114 
115 static const ARMInsnFixup smpboot[] = {
116     { 0xe59f2028 }, /* ldr r2, gic_cpu_if */
117     { 0xe59f0028 }, /* ldr r0, bootreg_addr */
118     { 0xe3a01001 }, /* mov r1, #1 */
119     { 0xe5821000 }, /* str r1, [r2] - set GICC_CTLR.Enable */
120     { 0xe3a010ff }, /* mov r1, #0xff */
121     { 0xe5821004 }, /* str r1, [r2, 4] - set GIC_PMR.Priority to 0xff */
122     { 0, FIXUP_DSB },   /* dsb */
123     { 0xe320f003 }, /* wfi */
124     { 0xe5901000 }, /* ldr     r1, [r0] */
125     { 0xe1110001 }, /* tst     r1, r1 */
126     { 0x0afffffb }, /* beq     <wfi> */
127     { 0xe12fff11 }, /* bx      r1 */
128     { 0, FIXUP_GIC_CPU_IF }, /* gic_cpu_if: .word 0x.... */
129     { 0, FIXUP_BOOTREG }, /* bootreg_addr: .word 0x.... */
130     { 0, FIXUP_TERMINATOR }
131 };
132 
133 void arm_write_bootloader(const char *name,
134                           AddressSpace *as, hwaddr addr,
135                           const ARMInsnFixup *insns,
136                           const uint32_t *fixupcontext)
137 {
138     /* Fix up the specified bootloader fragment and write it into
139      * guest memory using rom_add_blob_fixed(). fixupcontext is
140      * an array giving the values to write in for the fixup types
141      * which write a value into the code array.
142      */
143     int i, len;
144     uint32_t *code;
145 
146     len = 0;
147     while (insns[len].fixup != FIXUP_TERMINATOR) {
148         len++;
149     }
150 
151     code = g_new0(uint32_t, len);
152 
153     for (i = 0; i < len; i++) {
154         uint32_t insn = insns[i].insn;
155         FixupType fixup = insns[i].fixup;
156 
157         switch (fixup) {
158         case FIXUP_NONE:
159             break;
160         case FIXUP_BOARDID:
161         case FIXUP_BOARD_SETUP:
162         case FIXUP_ARGPTR_LO:
163         case FIXUP_ARGPTR_HI:
164         case FIXUP_ENTRYPOINT_LO:
165         case FIXUP_ENTRYPOINT_HI:
166         case FIXUP_GIC_CPU_IF:
167         case FIXUP_BOOTREG:
168         case FIXUP_DSB:
169             insn = fixupcontext[fixup];
170             break;
171         default:
172             abort();
173         }
174         code[i] = tswap32(insn);
175     }
176 
177     assert((len * sizeof(uint32_t)) < BOOTLOADER_MAX_SIZE);
178 
179     rom_add_blob_fixed_as(name, code, len * sizeof(uint32_t), addr, as);
180 
181     g_free(code);
182 }
183 
184 static void default_write_secondary(ARMCPU *cpu,
185                                     const struct arm_boot_info *info)
186 {
187     uint32_t fixupcontext[FIXUP_MAX];
188     AddressSpace *as = arm_boot_address_space(cpu, info);
189 
190     fixupcontext[FIXUP_GIC_CPU_IF] = info->gic_cpu_if_addr;
191     fixupcontext[FIXUP_BOOTREG] = info->smp_bootreg_addr;
192     if (arm_feature(&cpu->env, ARM_FEATURE_V7)) {
193         fixupcontext[FIXUP_DSB] = DSB_INSN;
194     } else {
195         fixupcontext[FIXUP_DSB] = CP15_DSB_INSN;
196     }
197 
198     arm_write_bootloader("smpboot", as, info->smp_loader_start,
199                          smpboot, fixupcontext);
200 }
201 
202 void arm_write_secure_board_setup_dummy_smc(ARMCPU *cpu,
203                                             const struct arm_boot_info *info,
204                                             hwaddr mvbar_addr)
205 {
206     AddressSpace *as = arm_boot_address_space(cpu, info);
207     int n;
208     uint32_t mvbar_blob[] = {
209         /* mvbar_addr: secure monitor vectors
210          * Default unimplemented and unused vectors to spin. Makes it
211          * easier to debug (as opposed to the CPU running away).
212          */
213         0xeafffffe, /* (spin) */
214         0xeafffffe, /* (spin) */
215         0xe1b0f00e, /* movs pc, lr ;SMC exception return */
216         0xeafffffe, /* (spin) */
217         0xeafffffe, /* (spin) */
218         0xeafffffe, /* (spin) */
219         0xeafffffe, /* (spin) */
220         0xeafffffe, /* (spin) */
221     };
222     uint32_t board_setup_blob[] = {
223         /* board setup addr */
224         0xee110f51, /* mrc     p15, 0, r0, c1, c1, 2  ;read NSACR */
225         0xe3800b03, /* orr     r0, #0xc00             ;set CP11, CP10 */
226         0xee010f51, /* mcr     p15, 0, r0, c1, c1, 2  ;write NSACR */
227         0xe3a00e00 + (mvbar_addr >> 4), /* mov r0, #mvbar_addr */
228         0xee0c0f30, /* mcr     p15, 0, r0, c12, c0, 1 ;set MVBAR */
229         0xee110f11, /* mrc     p15, 0, r0, c1 , c1, 0 ;read SCR */
230         0xe3800031, /* orr     r0, #0x31              ;enable AW, FW, NS */
231         0xee010f11, /* mcr     p15, 0, r0, c1, c1, 0  ;write SCR */
232         0xe1a0100e, /* mov     r1, lr                 ;save LR across SMC */
233         0xe1600070, /* smc     #0                     ;call monitor to flush SCR */
234         0xe1a0f001, /* mov     pc, r1                 ;return */
235     };
236 
237     /* check that mvbar_addr is correctly aligned and relocatable (using MOV) */
238     assert((mvbar_addr & 0x1f) == 0 && (mvbar_addr >> 4) < 0x100);
239 
240     /* check that these blobs don't overlap */
241     assert((mvbar_addr + sizeof(mvbar_blob) <= info->board_setup_addr)
242           || (info->board_setup_addr + sizeof(board_setup_blob) <= mvbar_addr));
243 
244     for (n = 0; n < ARRAY_SIZE(mvbar_blob); n++) {
245         mvbar_blob[n] = tswap32(mvbar_blob[n]);
246     }
247     rom_add_blob_fixed_as("board-setup-mvbar", mvbar_blob, sizeof(mvbar_blob),
248                           mvbar_addr, as);
249 
250     for (n = 0; n < ARRAY_SIZE(board_setup_blob); n++) {
251         board_setup_blob[n] = tswap32(board_setup_blob[n]);
252     }
253     rom_add_blob_fixed_as("board-setup", board_setup_blob,
254                           sizeof(board_setup_blob), info->board_setup_addr, as);
255 }
256 
257 static void default_reset_secondary(ARMCPU *cpu,
258                                     const struct arm_boot_info *info)
259 {
260     AddressSpace *as = arm_boot_address_space(cpu, info);
261     CPUState *cs = CPU(cpu);
262 
263     address_space_stl_notdirty(as, info->smp_bootreg_addr,
264                                0, MEMTXATTRS_UNSPECIFIED, NULL);
265     cpu_set_pc(cs, info->smp_loader_start);
266 }
267 
268 static inline bool have_dtb(const struct arm_boot_info *info)
269 {
270     return info->dtb_filename || info->get_dtb;
271 }
272 
273 #define WRITE_WORD(p, value) do { \
274     address_space_stl_notdirty(as, p, value, \
275                                MEMTXATTRS_UNSPECIFIED, NULL);  \
276     p += 4;                       \
277 } while (0)
278 
279 static void set_kernel_args(const struct arm_boot_info *info, AddressSpace *as)
280 {
281     int initrd_size = info->initrd_size;
282     hwaddr base = info->loader_start;
283     hwaddr p;
284 
285     p = base + KERNEL_ARGS_ADDR;
286     /* ATAG_CORE */
287     WRITE_WORD(p, 5);
288     WRITE_WORD(p, 0x54410001);
289     WRITE_WORD(p, 1);
290     WRITE_WORD(p, 0x1000);
291     WRITE_WORD(p, 0);
292     /* ATAG_MEM */
293     /* TODO: handle multiple chips on one ATAG list */
294     WRITE_WORD(p, 4);
295     WRITE_WORD(p, 0x54410002);
296     WRITE_WORD(p, info->ram_size);
297     WRITE_WORD(p, info->loader_start);
298     if (initrd_size) {
299         /* ATAG_INITRD2 */
300         WRITE_WORD(p, 4);
301         WRITE_WORD(p, 0x54420005);
302         WRITE_WORD(p, info->initrd_start);
303         WRITE_WORD(p, initrd_size);
304     }
305     if (info->kernel_cmdline && *info->kernel_cmdline) {
306         /* ATAG_CMDLINE */
307         int cmdline_size;
308 
309         cmdline_size = strlen(info->kernel_cmdline);
310         address_space_write(as, p + 8, MEMTXATTRS_UNSPECIFIED,
311                             info->kernel_cmdline, cmdline_size + 1);
312         cmdline_size = (cmdline_size >> 2) + 1;
313         WRITE_WORD(p, cmdline_size + 2);
314         WRITE_WORD(p, 0x54410009);
315         p += cmdline_size * 4;
316     }
317     if (info->atag_board) {
318         /* ATAG_BOARD */
319         int atag_board_len;
320         uint8_t atag_board_buf[0x1000];
321 
322         atag_board_len = (info->atag_board(info, atag_board_buf) + 3) & ~3;
323         WRITE_WORD(p, (atag_board_len + 8) >> 2);
324         WRITE_WORD(p, 0x414f4d50);
325         address_space_write(as, p, MEMTXATTRS_UNSPECIFIED,
326                             atag_board_buf, atag_board_len);
327         p += atag_board_len;
328     }
329     /* ATAG_END */
330     WRITE_WORD(p, 0);
331     WRITE_WORD(p, 0);
332 }
333 
334 static void set_kernel_args_old(const struct arm_boot_info *info,
335                                 AddressSpace *as)
336 {
337     hwaddr p;
338     const char *s;
339     int initrd_size = info->initrd_size;
340     hwaddr base = info->loader_start;
341 
342     /* see linux/include/asm-arm/setup.h */
343     p = base + KERNEL_ARGS_ADDR;
344     /* page_size */
345     WRITE_WORD(p, 4096);
346     /* nr_pages */
347     WRITE_WORD(p, info->ram_size / 4096);
348     /* ramdisk_size */
349     WRITE_WORD(p, 0);
350 #define FLAG_READONLY	1
351 #define FLAG_RDLOAD	4
352 #define FLAG_RDPROMPT	8
353     /* flags */
354     WRITE_WORD(p, FLAG_READONLY | FLAG_RDLOAD | FLAG_RDPROMPT);
355     /* rootdev */
356     WRITE_WORD(p, (31 << 8) | 0);	/* /dev/mtdblock0 */
357     /* video_num_cols */
358     WRITE_WORD(p, 0);
359     /* video_num_rows */
360     WRITE_WORD(p, 0);
361     /* video_x */
362     WRITE_WORD(p, 0);
363     /* video_y */
364     WRITE_WORD(p, 0);
365     /* memc_control_reg */
366     WRITE_WORD(p, 0);
367     /* unsigned char sounddefault */
368     /* unsigned char adfsdrives */
369     /* unsigned char bytes_per_char_h */
370     /* unsigned char bytes_per_char_v */
371     WRITE_WORD(p, 0);
372     /* pages_in_bank[4] */
373     WRITE_WORD(p, 0);
374     WRITE_WORD(p, 0);
375     WRITE_WORD(p, 0);
376     WRITE_WORD(p, 0);
377     /* pages_in_vram */
378     WRITE_WORD(p, 0);
379     /* initrd_start */
380     if (initrd_size) {
381         WRITE_WORD(p, info->initrd_start);
382     } else {
383         WRITE_WORD(p, 0);
384     }
385     /* initrd_size */
386     WRITE_WORD(p, initrd_size);
387     /* rd_start */
388     WRITE_WORD(p, 0);
389     /* system_rev */
390     WRITE_WORD(p, 0);
391     /* system_serial_low */
392     WRITE_WORD(p, 0);
393     /* system_serial_high */
394     WRITE_WORD(p, 0);
395     /* mem_fclk_21285 */
396     WRITE_WORD(p, 0);
397     /* zero unused fields */
398     while (p < base + KERNEL_ARGS_ADDR + 256 + 1024) {
399         WRITE_WORD(p, 0);
400     }
401     s = info->kernel_cmdline;
402     if (s) {
403         address_space_write(as, p, MEMTXATTRS_UNSPECIFIED, s, strlen(s) + 1);
404     } else {
405         WRITE_WORD(p, 0);
406     }
407 }
408 
409 static int fdt_add_memory_node(void *fdt, uint32_t acells, hwaddr mem_base,
410                                uint32_t scells, hwaddr mem_len,
411                                int numa_node_id)
412 {
413     char *nodename;
414     int ret;
415 
416     nodename = g_strdup_printf("/memory@%" PRIx64, mem_base);
417     qemu_fdt_add_subnode(fdt, nodename);
418     qemu_fdt_setprop_string(fdt, nodename, "device_type", "memory");
419     ret = qemu_fdt_setprop_sized_cells(fdt, nodename, "reg", acells, mem_base,
420                                        scells, mem_len);
421     if (ret < 0) {
422         goto out;
423     }
424 
425     /* only set the NUMA ID if it is specified */
426     if (numa_node_id >= 0) {
427         ret = qemu_fdt_setprop_cell(fdt, nodename,
428                                     "numa-node-id", numa_node_id);
429     }
430 out:
431     g_free(nodename);
432     return ret;
433 }
434 
435 static void fdt_add_psci_node(void *fdt)
436 {
437     uint32_t cpu_suspend_fn;
438     uint32_t cpu_off_fn;
439     uint32_t cpu_on_fn;
440     uint32_t migrate_fn;
441     ARMCPU *armcpu = ARM_CPU(qemu_get_cpu(0));
442     const char *psci_method;
443     int64_t psci_conduit;
444     int rc;
445 
446     psci_conduit = object_property_get_int(OBJECT(armcpu),
447                                            "psci-conduit",
448                                            &error_abort);
449     switch (psci_conduit) {
450     case QEMU_PSCI_CONDUIT_DISABLED:
451         return;
452     case QEMU_PSCI_CONDUIT_HVC:
453         psci_method = "hvc";
454         break;
455     case QEMU_PSCI_CONDUIT_SMC:
456         psci_method = "smc";
457         break;
458     default:
459         g_assert_not_reached();
460     }
461 
462     /*
463      * A pre-existing /psci node might specify function ID values
464      * that don't match QEMU's PSCI implementation. Delete the whole
465      * node and put our own in instead.
466      */
467     rc = fdt_path_offset(fdt, "/psci");
468     if (rc >= 0) {
469         qemu_fdt_nop_node(fdt, "/psci");
470     }
471 
472     qemu_fdt_add_subnode(fdt, "/psci");
473     if (armcpu->psci_version >= QEMU_PSCI_VERSION_0_2) {
474         if (armcpu->psci_version < QEMU_PSCI_VERSION_1_0) {
475             const char comp[] = "arm,psci-0.2\0arm,psci";
476             qemu_fdt_setprop(fdt, "/psci", "compatible", comp, sizeof(comp));
477         } else {
478             const char comp[] = "arm,psci-1.0\0arm,psci-0.2\0arm,psci";
479             qemu_fdt_setprop(fdt, "/psci", "compatible", comp, sizeof(comp));
480         }
481 
482         cpu_off_fn = QEMU_PSCI_0_2_FN_CPU_OFF;
483         if (arm_feature(&armcpu->env, ARM_FEATURE_AARCH64)) {
484             cpu_suspend_fn = QEMU_PSCI_0_2_FN64_CPU_SUSPEND;
485             cpu_on_fn = QEMU_PSCI_0_2_FN64_CPU_ON;
486             migrate_fn = QEMU_PSCI_0_2_FN64_MIGRATE;
487         } else {
488             cpu_suspend_fn = QEMU_PSCI_0_2_FN_CPU_SUSPEND;
489             cpu_on_fn = QEMU_PSCI_0_2_FN_CPU_ON;
490             migrate_fn = QEMU_PSCI_0_2_FN_MIGRATE;
491         }
492     } else {
493         qemu_fdt_setprop_string(fdt, "/psci", "compatible", "arm,psci");
494 
495         cpu_suspend_fn = QEMU_PSCI_0_1_FN_CPU_SUSPEND;
496         cpu_off_fn = QEMU_PSCI_0_1_FN_CPU_OFF;
497         cpu_on_fn = QEMU_PSCI_0_1_FN_CPU_ON;
498         migrate_fn = QEMU_PSCI_0_1_FN_MIGRATE;
499     }
500 
501     /* We adopt the PSCI spec's nomenclature, and use 'conduit' to refer
502      * to the instruction that should be used to invoke PSCI functions.
503      * However, the device tree binding uses 'method' instead, so that is
504      * what we should use here.
505      */
506     qemu_fdt_setprop_string(fdt, "/psci", "method", psci_method);
507 
508     qemu_fdt_setprop_cell(fdt, "/psci", "cpu_suspend", cpu_suspend_fn);
509     qemu_fdt_setprop_cell(fdt, "/psci", "cpu_off", cpu_off_fn);
510     qemu_fdt_setprop_cell(fdt, "/psci", "cpu_on", cpu_on_fn);
511     qemu_fdt_setprop_cell(fdt, "/psci", "migrate", migrate_fn);
512 }
513 
514 int arm_load_dtb(hwaddr addr, const struct arm_boot_info *binfo,
515                  hwaddr addr_limit, AddressSpace *as, MachineState *ms)
516 {
517     void *fdt = NULL;
518     int size, rc, n = 0;
519     uint32_t acells, scells;
520     unsigned int i;
521     hwaddr mem_base, mem_len;
522     char **node_path;
523     Error *err = NULL;
524 
525     if (binfo->dtb_filename) {
526         char *filename;
527         filename = qemu_find_file(QEMU_FILE_TYPE_BIOS, binfo->dtb_filename);
528         if (!filename) {
529             fprintf(stderr, "Couldn't open dtb file %s\n", binfo->dtb_filename);
530             goto fail;
531         }
532 
533         fdt = load_device_tree(filename, &size);
534         if (!fdt) {
535             fprintf(stderr, "Couldn't open dtb file %s\n", filename);
536             g_free(filename);
537             goto fail;
538         }
539         g_free(filename);
540     } else {
541         fdt = binfo->get_dtb(binfo, &size);
542         if (!fdt) {
543             fprintf(stderr, "Board was unable to create a dtb blob\n");
544             goto fail;
545         }
546     }
547 
548     if (addr_limit > addr && size > (addr_limit - addr)) {
549         /* Installing the device tree blob at addr would exceed addr_limit.
550          * Whether this constitutes failure is up to the caller to decide,
551          * so just return 0 as size, i.e., no error.
552          */
553         g_free(fdt);
554         return 0;
555     }
556 
557     acells = qemu_fdt_getprop_cell(fdt, "/", "#address-cells",
558                                    NULL, &error_fatal);
559     scells = qemu_fdt_getprop_cell(fdt, "/", "#size-cells",
560                                    NULL, &error_fatal);
561     if (acells == 0 || scells == 0) {
562         fprintf(stderr, "dtb file invalid (#address-cells or #size-cells 0)\n");
563         goto fail;
564     }
565 
566     if (scells < 2 && binfo->ram_size >= 4 * GiB) {
567         /* This is user error so deserves a friendlier error message
568          * than the failure of setprop_sized_cells would provide
569          */
570         fprintf(stderr, "qemu: dtb file not compatible with "
571                 "RAM size > 4GB\n");
572         goto fail;
573     }
574 
575     /* nop all root nodes matching /memory or /memory@unit-address */
576     node_path = qemu_fdt_node_unit_path(fdt, "memory", &err);
577     if (err) {
578         error_report_err(err);
579         goto fail;
580     }
581     while (node_path[n]) {
582         if (g_str_has_prefix(node_path[n], "/memory")) {
583             qemu_fdt_nop_node(fdt, node_path[n]);
584         }
585         n++;
586     }
587     g_strfreev(node_path);
588 
589     /*
590      * We drop all the memory nodes which correspond to empty NUMA nodes
591      * from the device tree, because the Linux NUMA binding document
592      * states they should not be generated. Linux will get the NUMA node
593      * IDs of the empty NUMA nodes from the distance map if they are needed.
594      * This means QEMU users may be obliged to provide command lines which
595      * configure distance maps when the empty NUMA node IDs are needed and
596      * Linux's default distance map isn't sufficient.
597      */
598     if (ms->numa_state != NULL && ms->numa_state->num_nodes > 0) {
599         mem_base = binfo->loader_start;
600         for (i = 0; i < ms->numa_state->num_nodes; i++) {
601             mem_len = ms->numa_state->nodes[i].node_mem;
602             if (!mem_len) {
603                 continue;
604             }
605 
606             rc = fdt_add_memory_node(fdt, acells, mem_base,
607                                      scells, mem_len, i);
608             if (rc < 0) {
609                 fprintf(stderr, "couldn't add /memory@%"PRIx64" node\n",
610                         mem_base);
611                 goto fail;
612             }
613 
614             mem_base += mem_len;
615         }
616     } else {
617         rc = fdt_add_memory_node(fdt, acells, binfo->loader_start,
618                                  scells, binfo->ram_size, -1);
619         if (rc < 0) {
620             fprintf(stderr, "couldn't add /memory@%"PRIx64" node\n",
621                     binfo->loader_start);
622             goto fail;
623         }
624     }
625 
626     rc = fdt_path_offset(fdt, "/chosen");
627     if (rc < 0) {
628         qemu_fdt_add_subnode(fdt, "/chosen");
629     }
630 
631     if (ms->kernel_cmdline && *ms->kernel_cmdline) {
632         rc = qemu_fdt_setprop_string(fdt, "/chosen", "bootargs",
633                                      ms->kernel_cmdline);
634         if (rc < 0) {
635             fprintf(stderr, "couldn't set /chosen/bootargs\n");
636             goto fail;
637         }
638     }
639 
640     if (binfo->initrd_size) {
641         rc = qemu_fdt_setprop_sized_cells(fdt, "/chosen", "linux,initrd-start",
642                                           acells, binfo->initrd_start);
643         if (rc < 0) {
644             fprintf(stderr, "couldn't set /chosen/linux,initrd-start\n");
645             goto fail;
646         }
647 
648         rc = qemu_fdt_setprop_sized_cells(fdt, "/chosen", "linux,initrd-end",
649                                           acells,
650                                           binfo->initrd_start +
651                                           binfo->initrd_size);
652         if (rc < 0) {
653             fprintf(stderr, "couldn't set /chosen/linux,initrd-end\n");
654             goto fail;
655         }
656     }
657 
658     fdt_add_psci_node(fdt);
659 
660     if (binfo->modify_dtb) {
661         binfo->modify_dtb(binfo, fdt);
662     }
663 
664     qemu_fdt_dumpdtb(fdt, size);
665 
666     /* Put the DTB into the memory map as a ROM image: this will ensure
667      * the DTB is copied again upon reset, even if addr points into RAM.
668      */
669     rom_add_blob_fixed_as("dtb", fdt, size, addr, as);
670     qemu_register_reset_nosnapshotload(qemu_fdt_randomize_seeds,
671                                        rom_ptr_for_as(as, addr, size));
672 
673     if (fdt != ms->fdt) {
674         g_free(ms->fdt);
675         ms->fdt = fdt;
676     }
677 
678     return size;
679 
680 fail:
681     g_free(fdt);
682     return -1;
683 }
684 
685 static void do_cpu_reset(void *opaque)
686 {
687     ARMCPU *cpu = opaque;
688     CPUState *cs = CPU(cpu);
689     CPUARMState *env = &cpu->env;
690     const struct arm_boot_info *info = env->boot_info;
691 
692     cpu_reset(cs);
693     if (info) {
694         if (!info->is_linux) {
695             int i;
696             /* Jump to the entry point.  */
697             uint64_t entry = info->entry;
698 
699             switch (info->endianness) {
700             case ARM_ENDIANNESS_LE:
701                 env->cp15.sctlr_el[1] &= ~SCTLR_E0E;
702                 for (i = 1; i < 4; ++i) {
703                     env->cp15.sctlr_el[i] &= ~SCTLR_EE;
704                 }
705                 env->uncached_cpsr &= ~CPSR_E;
706                 break;
707             case ARM_ENDIANNESS_BE8:
708                 env->cp15.sctlr_el[1] |= SCTLR_E0E;
709                 for (i = 1; i < 4; ++i) {
710                     env->cp15.sctlr_el[i] |= SCTLR_EE;
711                 }
712                 env->uncached_cpsr |= CPSR_E;
713                 break;
714             case ARM_ENDIANNESS_BE32:
715                 env->cp15.sctlr_el[1] |= SCTLR_B;
716                 break;
717             case ARM_ENDIANNESS_UNKNOWN:
718                 break; /* Board's decision */
719             default:
720                 g_assert_not_reached();
721             }
722 
723             cpu_set_pc(cs, entry);
724         } else {
725             /* If we are booting Linux then we need to check whether we are
726              * booting into secure or non-secure state and adjust the state
727              * accordingly.  Out of reset, ARM is defined to be in secure state
728              * (SCR.NS = 0), we change that here if non-secure boot has been
729              * requested.
730              */
731             if (arm_feature(env, ARM_FEATURE_EL3)) {
732                 /* AArch64 is defined to come out of reset into EL3 if enabled.
733                  * If we are booting Linux then we need to adjust our EL as
734                  * Linux expects us to be in EL2 or EL1.  AArch32 resets into
735                  * SVC, which Linux expects, so no privilege/exception level to
736                  * adjust.
737                  */
738                 if (env->aarch64) {
739                     env->cp15.scr_el3 |= SCR_RW;
740                     if (arm_feature(env, ARM_FEATURE_EL2)) {
741                         env->cp15.hcr_el2 |= HCR_RW;
742                         env->pstate = PSTATE_MODE_EL2h;
743                     } else {
744                         env->pstate = PSTATE_MODE_EL1h;
745                     }
746                     if (cpu_isar_feature(aa64_pauth, cpu)) {
747                         env->cp15.scr_el3 |= SCR_API | SCR_APK;
748                     }
749                     if (cpu_isar_feature(aa64_mte, cpu)) {
750                         env->cp15.scr_el3 |= SCR_ATA;
751                     }
752                     if (cpu_isar_feature(aa64_sve, cpu)) {
753                         env->cp15.cptr_el[3] |= R_CPTR_EL3_EZ_MASK;
754                         env->vfp.zcr_el[3] = 0xf;
755                     }
756                     if (cpu_isar_feature(aa64_sme, cpu)) {
757                         env->cp15.cptr_el[3] |= R_CPTR_EL3_ESM_MASK;
758                         env->cp15.scr_el3 |= SCR_ENTP2;
759                         env->vfp.smcr_el[3] = 0xf;
760                     }
761                     if (cpu_isar_feature(aa64_hcx, cpu)) {
762                         env->cp15.scr_el3 |= SCR_HXEN;
763                     }
764                     /* AArch64 kernels never boot in secure mode */
765                     assert(!info->secure_boot);
766                     /* This hook is only supported for AArch32 currently:
767                      * bootloader_aarch64[] will not call the hook, and
768                      * the code above has already dropped us into EL2 or EL1.
769                      */
770                     assert(!info->secure_board_setup);
771                 }
772 
773                 if (arm_feature(env, ARM_FEATURE_EL2)) {
774                     /* If we have EL2 then Linux expects the HVC insn to work */
775                     env->cp15.scr_el3 |= SCR_HCE;
776                 }
777 
778                 /* Set to non-secure if not a secure boot */
779                 if (!info->secure_boot &&
780                     (cs != first_cpu || !info->secure_board_setup)) {
781                     /* Linux expects non-secure state */
782                     env->cp15.scr_el3 |= SCR_NS;
783                     /* Set NSACR.{CP11,CP10} so NS can access the FPU */
784                     env->cp15.nsacr |= 3 << 10;
785                 }
786             }
787 
788             if (!env->aarch64 && !info->secure_boot &&
789                 arm_feature(env, ARM_FEATURE_EL2)) {
790                 /*
791                  * This is an AArch32 boot not to Secure state, and
792                  * we have Hyp mode available, so boot the kernel into
793                  * Hyp mode. This is not how the CPU comes out of reset,
794                  * so we need to manually put it there.
795                  */
796                 cpsr_write(env, ARM_CPU_MODE_HYP, CPSR_M, CPSRWriteRaw);
797             }
798 
799             if (cs == first_cpu) {
800                 AddressSpace *as = arm_boot_address_space(cpu, info);
801 
802                 cpu_set_pc(cs, info->loader_start);
803 
804                 if (!have_dtb(info)) {
805                     if (old_param) {
806                         set_kernel_args_old(info, as);
807                     } else {
808                         set_kernel_args(info, as);
809                     }
810                 }
811             } else if (info->secondary_cpu_reset_hook) {
812                 info->secondary_cpu_reset_hook(cpu, info);
813             }
814         }
815 
816         if (tcg_enabled()) {
817             arm_rebuild_hflags(env);
818         }
819     }
820 }
821 
822 static int do_arm_linux_init(Object *obj, void *opaque)
823 {
824     if (object_dynamic_cast(obj, TYPE_ARM_LINUX_BOOT_IF)) {
825         ARMLinuxBootIf *albif = ARM_LINUX_BOOT_IF(obj);
826         ARMLinuxBootIfClass *albifc = ARM_LINUX_BOOT_IF_GET_CLASS(obj);
827         struct arm_boot_info *info = opaque;
828 
829         if (albifc->arm_linux_init) {
830             albifc->arm_linux_init(albif, info->secure_boot);
831         }
832     }
833     return 0;
834 }
835 
836 static ssize_t arm_load_elf(struct arm_boot_info *info, uint64_t *pentry,
837                             uint64_t *lowaddr, uint64_t *highaddr,
838                             int elf_machine, AddressSpace *as)
839 {
840     bool elf_is64;
841     union {
842         Elf32_Ehdr h32;
843         Elf64_Ehdr h64;
844     } elf_header;
845     int data_swab = 0;
846     bool big_endian;
847     ssize_t ret = -1;
848     Error *err = NULL;
849 
850 
851     load_elf_hdr(info->kernel_filename, &elf_header, &elf_is64, &err);
852     if (err) {
853         error_free(err);
854         return ret;
855     }
856 
857     if (elf_is64) {
858         big_endian = elf_header.h64.e_ident[EI_DATA] == ELFDATA2MSB;
859         info->endianness = big_endian ? ARM_ENDIANNESS_BE8
860                                       : ARM_ENDIANNESS_LE;
861     } else {
862         big_endian = elf_header.h32.e_ident[EI_DATA] == ELFDATA2MSB;
863         if (big_endian) {
864             if (bswap32(elf_header.h32.e_flags) & EF_ARM_BE8) {
865                 info->endianness = ARM_ENDIANNESS_BE8;
866             } else {
867                 info->endianness = ARM_ENDIANNESS_BE32;
868                 /* In BE32, the CPU has a different view of the per-byte
869                  * address map than the rest of the system. BE32 ELF files
870                  * are organised such that they can be programmed through
871                  * the CPU's per-word byte-reversed view of the world. QEMU
872                  * however loads ELF files independently of the CPU. So
873                  * tell the ELF loader to byte reverse the data for us.
874                  */
875                 data_swab = 2;
876             }
877         } else {
878             info->endianness = ARM_ENDIANNESS_LE;
879         }
880     }
881 
882     ret = load_elf_as(info->kernel_filename, NULL, NULL, NULL,
883                       pentry, lowaddr, highaddr, NULL, big_endian, elf_machine,
884                       1, data_swab, as);
885     if (ret <= 0) {
886         /* The header loaded but the image didn't */
887         exit(1);
888     }
889 
890     return ret;
891 }
892 
893 static uint64_t load_aarch64_image(const char *filename, hwaddr mem_base,
894                                    hwaddr *entry, AddressSpace *as)
895 {
896     hwaddr kernel_load_offset = KERNEL64_LOAD_ADDR;
897     uint64_t kernel_size = 0;
898     uint8_t *buffer;
899     int size;
900 
901     /* On aarch64, it's the bootloader's job to uncompress the kernel. */
902     size = load_image_gzipped_buffer(filename, LOAD_IMAGE_MAX_GUNZIP_BYTES,
903                                      &buffer);
904 
905     if (size < 0) {
906         gsize len;
907 
908         /* Load as raw file otherwise */
909         if (!g_file_get_contents(filename, (char **)&buffer, &len, NULL)) {
910             return -1;
911         }
912         size = len;
913 
914         /* Unpack the image if it is a EFI zboot image */
915         if (unpack_efi_zboot_image(&buffer, &size) < 0) {
916             g_free(buffer);
917             return -1;
918         }
919     }
920 
921     /* check the arm64 magic header value -- very old kernels may not have it */
922     if (size > ARM64_MAGIC_OFFSET + 4 &&
923         memcmp(buffer + ARM64_MAGIC_OFFSET, "ARM\x64", 4) == 0) {
924         uint64_t hdrvals[2];
925 
926         /* The arm64 Image header has text_offset and image_size fields at 8 and
927          * 16 bytes into the Image header, respectively. The text_offset field
928          * is only valid if the image_size is non-zero.
929          */
930         memcpy(&hdrvals, buffer + ARM64_TEXT_OFFSET_OFFSET, sizeof(hdrvals));
931 
932         kernel_size = le64_to_cpu(hdrvals[1]);
933 
934         if (kernel_size != 0) {
935             kernel_load_offset = le64_to_cpu(hdrvals[0]);
936 
937             /*
938              * We write our startup "bootloader" at the very bottom of RAM,
939              * so that bit can't be used for the image. Luckily the Image
940              * format specification is that the image requests only an offset
941              * from a 2MB boundary, not an absolute load address. So if the
942              * image requests an offset that might mean it overlaps with the
943              * bootloader, we can just load it starting at 2MB+offset rather
944              * than 0MB + offset.
945              */
946             if (kernel_load_offset < BOOTLOADER_MAX_SIZE) {
947                 kernel_load_offset += 2 * MiB;
948             }
949         }
950     }
951 
952     /*
953      * Kernels before v3.17 don't populate the image_size field, and
954      * raw images have no header. For those our best guess at the size
955      * is the size of the Image file itself.
956      */
957     if (kernel_size == 0) {
958         kernel_size = size;
959     }
960 
961     *entry = mem_base + kernel_load_offset;
962     rom_add_blob_fixed_as(filename, buffer, size, *entry, as);
963 
964     g_free(buffer);
965 
966     return kernel_size;
967 }
968 
969 static void arm_setup_direct_kernel_boot(ARMCPU *cpu,
970                                          struct arm_boot_info *info)
971 {
972     /* Set up for a direct boot of a kernel image file. */
973     CPUState *cs;
974     AddressSpace *as = arm_boot_address_space(cpu, info);
975     ssize_t kernel_size;
976     int initrd_size;
977     int is_linux = 0;
978     uint64_t elf_entry;
979     /* Addresses of first byte used and first byte not used by the image */
980     uint64_t image_low_addr = 0, image_high_addr = 0;
981     int elf_machine;
982     hwaddr entry;
983     static const ARMInsnFixup *primary_loader;
984     uint64_t ram_end = info->loader_start + info->ram_size;
985 
986     if (arm_feature(&cpu->env, ARM_FEATURE_AARCH64)) {
987         primary_loader = bootloader_aarch64;
988         elf_machine = EM_AARCH64;
989     } else {
990         primary_loader = bootloader;
991         if (!info->write_board_setup) {
992             primary_loader += BOOTLOADER_NO_BOARD_SETUP_OFFSET;
993         }
994         elf_machine = EM_ARM;
995     }
996 
997     /* Assume that raw images are linux kernels, and ELF images are not.  */
998     kernel_size = arm_load_elf(info, &elf_entry, &image_low_addr,
999                                &image_high_addr, elf_machine, as);
1000     if (kernel_size > 0 && have_dtb(info)) {
1001         /*
1002          * If there is still some room left at the base of RAM, try and put
1003          * the DTB there like we do for images loaded with -bios or -pflash.
1004          */
1005         if (image_low_addr > info->loader_start
1006             || image_high_addr < info->loader_start) {
1007             /*
1008              * Set image_low_addr as address limit for arm_load_dtb if it may be
1009              * pointing into RAM, otherwise pass '0' (no limit)
1010              */
1011             if (image_low_addr < info->loader_start) {
1012                 image_low_addr = 0;
1013             }
1014             info->dtb_start = info->loader_start;
1015             info->dtb_limit = image_low_addr;
1016         }
1017     }
1018     entry = elf_entry;
1019     if (kernel_size < 0) {
1020         uint64_t loadaddr = info->loader_start + KERNEL_NOLOAD_ADDR;
1021         kernel_size = load_uimage_as(info->kernel_filename, &entry, &loadaddr,
1022                                      &is_linux, NULL, NULL, as);
1023         if (kernel_size >= 0) {
1024             image_low_addr = loadaddr;
1025             image_high_addr = image_low_addr + kernel_size;
1026         }
1027     }
1028     if (arm_feature(&cpu->env, ARM_FEATURE_AARCH64) && kernel_size < 0) {
1029         kernel_size = load_aarch64_image(info->kernel_filename,
1030                                          info->loader_start, &entry, as);
1031         is_linux = 1;
1032         if (kernel_size >= 0) {
1033             image_low_addr = entry;
1034             image_high_addr = image_low_addr + kernel_size;
1035         }
1036     } else if (kernel_size < 0) {
1037         /* 32-bit ARM */
1038         entry = info->loader_start + KERNEL_LOAD_ADDR;
1039         kernel_size = load_image_targphys_as(info->kernel_filename, entry,
1040                                              ram_end - KERNEL_LOAD_ADDR, as);
1041         is_linux = 1;
1042         if (kernel_size >= 0) {
1043             image_low_addr = entry;
1044             image_high_addr = image_low_addr + kernel_size;
1045         }
1046     }
1047     if (kernel_size < 0) {
1048         error_report("could not load kernel '%s'", info->kernel_filename);
1049         exit(1);
1050     }
1051 
1052     if (kernel_size > info->ram_size) {
1053         error_report("kernel '%s' is too large to fit in RAM "
1054                      "(kernel size %zd, RAM size %" PRId64 ")",
1055                      info->kernel_filename, kernel_size, info->ram_size);
1056         exit(1);
1057     }
1058 
1059     info->entry = entry;
1060 
1061     /*
1062      * We want to put the initrd far enough into RAM that when the
1063      * kernel is uncompressed it will not clobber the initrd. However
1064      * on boards without much RAM we must ensure that we still leave
1065      * enough room for a decent sized initrd, and on boards with large
1066      * amounts of RAM we must avoid the initrd being so far up in RAM
1067      * that it is outside lowmem and inaccessible to the kernel.
1068      * So for boards with less  than 256MB of RAM we put the initrd
1069      * halfway into RAM, and for boards with 256MB of RAM or more we put
1070      * the initrd at 128MB.
1071      * We also refuse to put the initrd somewhere that will definitely
1072      * overlay the kernel we just loaded, though for kernel formats which
1073      * don't tell us their exact size (eg self-decompressing 32-bit kernels)
1074      * we might still make a bad choice here.
1075      */
1076     info->initrd_start = info->loader_start +
1077         MIN(info->ram_size / 2, 128 * MiB);
1078     if (image_high_addr) {
1079         info->initrd_start = MAX(info->initrd_start, image_high_addr);
1080     }
1081     info->initrd_start = TARGET_PAGE_ALIGN(info->initrd_start);
1082 
1083     if (is_linux) {
1084         uint32_t fixupcontext[FIXUP_MAX];
1085 
1086         if (info->initrd_filename) {
1087 
1088             if (info->initrd_start >= ram_end) {
1089                 error_report("not enough space after kernel to load initrd");
1090                 exit(1);
1091             }
1092 
1093             initrd_size = load_ramdisk_as(info->initrd_filename,
1094                                           info->initrd_start,
1095                                           ram_end - info->initrd_start, as);
1096             if (initrd_size < 0) {
1097                 initrd_size = load_image_targphys_as(info->initrd_filename,
1098                                                      info->initrd_start,
1099                                                      ram_end -
1100                                                      info->initrd_start,
1101                                                      as);
1102             }
1103             if (initrd_size < 0) {
1104                 error_report("could not load initrd '%s'",
1105                              info->initrd_filename);
1106                 exit(1);
1107             }
1108             if (info->initrd_start + initrd_size > ram_end) {
1109                 error_report("could not load initrd '%s': "
1110                              "too big to fit into RAM after the kernel",
1111                              info->initrd_filename);
1112                 exit(1);
1113             }
1114         } else {
1115             initrd_size = 0;
1116         }
1117         info->initrd_size = initrd_size;
1118 
1119         fixupcontext[FIXUP_BOARDID] = info->board_id;
1120         fixupcontext[FIXUP_BOARD_SETUP] = info->board_setup_addr;
1121 
1122         /*
1123          * for device tree boot, we pass the DTB directly in r2. Otherwise
1124          * we point to the kernel args.
1125          */
1126         if (have_dtb(info)) {
1127             hwaddr align;
1128 
1129             if (elf_machine == EM_AARCH64) {
1130                 /*
1131                  * Some AArch64 kernels on early bootup map the fdt region as
1132                  *
1133                  *   [ ALIGN_DOWN(fdt, 2MB) ... ALIGN_DOWN(fdt, 2MB) + 2MB ]
1134                  *
1135                  * Let's play safe and prealign it to 2MB to give us some space.
1136                  */
1137                 align = 2 * MiB;
1138             } else {
1139                 /*
1140                  * Some 32bit kernels will trash anything in the 4K page the
1141                  * initrd ends in, so make sure the DTB isn't caught up in that.
1142                  */
1143                 align = 4 * KiB;
1144             }
1145 
1146             /* Place the DTB after the initrd in memory with alignment. */
1147             info->dtb_start = QEMU_ALIGN_UP(info->initrd_start + initrd_size,
1148                                            align);
1149             if (info->dtb_start >= ram_end) {
1150                 error_report("Not enough space for DTB after kernel/initrd");
1151                 exit(1);
1152             }
1153             fixupcontext[FIXUP_ARGPTR_LO] = info->dtb_start;
1154             fixupcontext[FIXUP_ARGPTR_HI] = info->dtb_start >> 32;
1155         } else {
1156             fixupcontext[FIXUP_ARGPTR_LO] =
1157                 info->loader_start + KERNEL_ARGS_ADDR;
1158             fixupcontext[FIXUP_ARGPTR_HI] =
1159                 (info->loader_start + KERNEL_ARGS_ADDR) >> 32;
1160             if (info->ram_size >= 4 * GiB) {
1161                 error_report("RAM size must be less than 4GB to boot"
1162                              " Linux kernel using ATAGS (try passing a device tree"
1163                              " using -dtb)");
1164                 exit(1);
1165             }
1166         }
1167         fixupcontext[FIXUP_ENTRYPOINT_LO] = entry;
1168         fixupcontext[FIXUP_ENTRYPOINT_HI] = entry >> 32;
1169 
1170         arm_write_bootloader("bootloader", as, info->loader_start,
1171                              primary_loader, fixupcontext);
1172 
1173         if (info->write_board_setup) {
1174             info->write_board_setup(cpu, info);
1175         }
1176 
1177         /*
1178          * Notify devices which need to fake up firmware initialization
1179          * that we're doing a direct kernel boot.
1180          */
1181         object_child_foreach_recursive(object_get_root(),
1182                                        do_arm_linux_init, info);
1183     }
1184     info->is_linux = is_linux;
1185 
1186     for (cs = first_cpu; cs; cs = CPU_NEXT(cs)) {
1187         ARM_CPU(cs)->env.boot_info = info;
1188     }
1189 }
1190 
1191 static void arm_setup_firmware_boot(ARMCPU *cpu, struct arm_boot_info *info)
1192 {
1193     /* Set up for booting firmware (which might load a kernel via fw_cfg) */
1194 
1195     if (have_dtb(info)) {
1196         /*
1197          * If we have a device tree blob, but no kernel to supply it to (or
1198          * the kernel is supposed to be loaded by the bootloader), copy the
1199          * DTB to the base of RAM for the bootloader to pick up.
1200          */
1201         info->dtb_start = info->loader_start;
1202     }
1203 
1204     if (info->kernel_filename) {
1205         FWCfgState *fw_cfg;
1206         bool try_decompressing_kernel;
1207 
1208         fw_cfg = fw_cfg_find();
1209 
1210         if (!fw_cfg) {
1211             error_report("This machine type does not support loading both "
1212                          "a guest firmware/BIOS image and a guest kernel at "
1213                          "the same time. You should change your QEMU command "
1214                          "line to specify one or the other, but not both.");
1215             exit(1);
1216         }
1217 
1218         try_decompressing_kernel = arm_feature(&cpu->env,
1219                                                ARM_FEATURE_AARCH64);
1220 
1221         /*
1222          * Expose the kernel, the command line, and the initrd in fw_cfg.
1223          * We don't process them here at all, it's all left to the
1224          * firmware.
1225          */
1226         load_image_to_fw_cfg(fw_cfg,
1227                              FW_CFG_KERNEL_SIZE, FW_CFG_KERNEL_DATA,
1228                              info->kernel_filename,
1229                              try_decompressing_kernel);
1230         load_image_to_fw_cfg(fw_cfg,
1231                              FW_CFG_INITRD_SIZE, FW_CFG_INITRD_DATA,
1232                              info->initrd_filename, false);
1233 
1234         if (info->kernel_cmdline) {
1235             fw_cfg_add_i32(fw_cfg, FW_CFG_CMDLINE_SIZE,
1236                            strlen(info->kernel_cmdline) + 1);
1237             fw_cfg_add_string(fw_cfg, FW_CFG_CMDLINE_DATA,
1238                               info->kernel_cmdline);
1239         }
1240     }
1241 
1242     /*
1243      * We will start from address 0 (typically a boot ROM image) in the
1244      * same way as hardware. Leave env->boot_info NULL, so that
1245      * do_cpu_reset() knows it does not need to alter the PC on reset.
1246      */
1247 }
1248 
1249 void arm_load_kernel(ARMCPU *cpu, MachineState *ms, struct arm_boot_info *info)
1250 {
1251     CPUState *cs;
1252     AddressSpace *as = arm_boot_address_space(cpu, info);
1253     int boot_el;
1254     CPUARMState *env = &cpu->env;
1255     int nb_cpus = 0;
1256 
1257     /*
1258      * CPU objects (unlike devices) are not automatically reset on system
1259      * reset, so we must always register a handler to do so. If we're
1260      * actually loading a kernel, the handler is also responsible for
1261      * arranging that we start it correctly.
1262      */
1263     for (cs = first_cpu; cs; cs = CPU_NEXT(cs)) {
1264         qemu_register_reset(do_cpu_reset, ARM_CPU(cs));
1265         nb_cpus++;
1266     }
1267 
1268     /*
1269      * The board code is not supposed to set secure_board_setup unless
1270      * running its code in secure mode is actually possible, and KVM
1271      * doesn't support secure.
1272      */
1273     assert(!(info->secure_board_setup && kvm_enabled()));
1274     info->kernel_filename = ms->kernel_filename;
1275     info->kernel_cmdline = ms->kernel_cmdline;
1276     info->initrd_filename = ms->initrd_filename;
1277     info->dtb_filename = ms->dtb;
1278     info->dtb_limit = 0;
1279 
1280     /* Load the kernel.  */
1281     if (!info->kernel_filename || info->firmware_loaded) {
1282         arm_setup_firmware_boot(cpu, info);
1283     } else {
1284         arm_setup_direct_kernel_boot(cpu, info);
1285     }
1286 
1287     /*
1288      * Disable the PSCI conduit if it is set up to target the same
1289      * or a lower EL than the one we're going to start the guest code in.
1290      * This logic needs to agree with the code in do_cpu_reset() which
1291      * decides whether we're going to boot the guest in the highest
1292      * supported exception level or in a lower one.
1293      */
1294 
1295     /*
1296      * If PSCI is enabled, then SMC calls all go to the PSCI handler and
1297      * are never emulated to trap into guest code. It therefore does not
1298      * make sense for the board to have a setup code fragment that runs
1299      * in Secure, because this will probably need to itself issue an SMC of some
1300      * kind as part of its operation.
1301      */
1302     assert(info->psci_conduit == QEMU_PSCI_CONDUIT_DISABLED ||
1303            !info->secure_board_setup);
1304 
1305     /* Boot into highest supported EL ... */
1306     if (arm_feature(env, ARM_FEATURE_EL3)) {
1307         boot_el = 3;
1308     } else if (arm_feature(env, ARM_FEATURE_EL2)) {
1309         boot_el = 2;
1310     } else {
1311         boot_el = 1;
1312     }
1313     /* ...except that if we're booting Linux we adjust the EL we boot into */
1314     if (info->is_linux && !info->secure_boot) {
1315         boot_el = arm_feature(env, ARM_FEATURE_EL2) ? 2 : 1;
1316     }
1317 
1318     if ((info->psci_conduit == QEMU_PSCI_CONDUIT_HVC && boot_el >= 2) ||
1319         (info->psci_conduit == QEMU_PSCI_CONDUIT_SMC && boot_el == 3)) {
1320         info->psci_conduit = QEMU_PSCI_CONDUIT_DISABLED;
1321     }
1322 
1323     if (info->psci_conduit != QEMU_PSCI_CONDUIT_DISABLED) {
1324         for (cs = first_cpu; cs; cs = CPU_NEXT(cs)) {
1325             Object *cpuobj = OBJECT(cs);
1326 
1327             object_property_set_int(cpuobj, "psci-conduit", info->psci_conduit,
1328                                     &error_abort);
1329             /*
1330              * Secondary CPUs start in PSCI powered-down state. Like the
1331              * code in do_cpu_reset(), we assume first_cpu is the primary
1332              * CPU.
1333              */
1334             if (cs != first_cpu) {
1335                 object_property_set_bool(cpuobj, "start-powered-off", true,
1336                                          &error_abort);
1337             }
1338         }
1339     }
1340 
1341     if (info->psci_conduit == QEMU_PSCI_CONDUIT_DISABLED &&
1342         info->is_linux && nb_cpus > 1) {
1343         /*
1344          * We're booting Linux but not using PSCI, so for SMP we need
1345          * to write a custom secondary CPU boot loader stub, and arrange
1346          * for the secondary CPU reset to make the accompanying initialization.
1347          */
1348         if (!info->secondary_cpu_reset_hook) {
1349             info->secondary_cpu_reset_hook = default_reset_secondary;
1350         }
1351         if (!info->write_secondary_boot) {
1352             info->write_secondary_boot = default_write_secondary;
1353         }
1354         info->write_secondary_boot(cpu, info);
1355     } else {
1356         /*
1357          * No secondary boot stub; don't use the reset hook that would
1358          * have set the CPU up to call it
1359          */
1360         info->write_secondary_boot = NULL;
1361         info->secondary_cpu_reset_hook = NULL;
1362     }
1363 
1364     /*
1365      * arm_load_dtb() may add a PSCI node so it must be called after we have
1366      * decided whether to enable PSCI and set the psci-conduit CPU properties.
1367      */
1368     if (!info->skip_dtb_autoload && have_dtb(info)) {
1369         if (arm_load_dtb(info->dtb_start, info, info->dtb_limit, as, ms) < 0) {
1370             exit(1);
1371         }
1372     }
1373 }
1374 
1375 static const TypeInfo arm_linux_boot_if_info = {
1376     .name = TYPE_ARM_LINUX_BOOT_IF,
1377     .parent = TYPE_INTERFACE,
1378     .class_size = sizeof(ARMLinuxBootIfClass),
1379 };
1380 
1381 static void arm_linux_boot_register_types(void)
1382 {
1383     type_register_static(&arm_linux_boot_if_info);
1384 }
1385 
1386 type_init(arm_linux_boot_register_types)
1387