xref: /qemu/hw/i2c/core.c (revision d0fb9657)
1 /*
2  * QEMU I2C bus interface.
3  *
4  * Copyright (c) 2007 CodeSourcery.
5  * Written by Paul Brook
6  *
7  * This code is licensed under the LGPL.
8  */
9 
10 #include "qemu/osdep.h"
11 #include "hw/i2c/i2c.h"
12 #include "hw/qdev-properties.h"
13 #include "migration/vmstate.h"
14 #include "qapi/error.h"
15 #include "qemu/module.h"
16 #include "trace.h"
17 
18 #define I2C_BROADCAST 0x00
19 
20 static Property i2c_props[] = {
21     DEFINE_PROP_UINT8("address", struct I2CSlave, address, 0),
22     DEFINE_PROP_END_OF_LIST(),
23 };
24 
25 static const TypeInfo i2c_bus_info = {
26     .name = TYPE_I2C_BUS,
27     .parent = TYPE_BUS,
28     .instance_size = sizeof(I2CBus),
29 };
30 
31 static int i2c_bus_pre_save(void *opaque)
32 {
33     I2CBus *bus = opaque;
34 
35     bus->saved_address = -1;
36     if (!QLIST_EMPTY(&bus->current_devs)) {
37         if (!bus->broadcast) {
38             bus->saved_address = QLIST_FIRST(&bus->current_devs)->elt->address;
39         } else {
40             bus->saved_address = I2C_BROADCAST;
41         }
42     }
43 
44     return 0;
45 }
46 
47 static const VMStateDescription vmstate_i2c_bus = {
48     .name = "i2c_bus",
49     .version_id = 1,
50     .minimum_version_id = 1,
51     .pre_save = i2c_bus_pre_save,
52     .fields = (VMStateField[]) {
53         VMSTATE_UINT8(saved_address, I2CBus),
54         VMSTATE_END_OF_LIST()
55     }
56 };
57 
58 /* Create a new I2C bus.  */
59 I2CBus *i2c_init_bus(DeviceState *parent, const char *name)
60 {
61     I2CBus *bus;
62 
63     bus = I2C_BUS(qbus_create(TYPE_I2C_BUS, parent, name));
64     QLIST_INIT(&bus->current_devs);
65     vmstate_register(NULL, VMSTATE_INSTANCE_ID_ANY, &vmstate_i2c_bus, bus);
66     return bus;
67 }
68 
69 void i2c_set_slave_address(I2CSlave *dev, uint8_t address)
70 {
71     dev->address = address;
72 }
73 
74 /* Return nonzero if bus is busy.  */
75 int i2c_bus_busy(I2CBus *bus)
76 {
77     return !QLIST_EMPTY(&bus->current_devs);
78 }
79 
80 bool i2c_scan_bus(I2CBus *bus, uint8_t address, bool broadcast,
81                   I2CNodeList *current_devs)
82 {
83     BusChild *kid;
84 
85     QTAILQ_FOREACH(kid, &bus->qbus.children, sibling) {
86         DeviceState *qdev = kid->child;
87         I2CSlave *candidate = I2C_SLAVE(qdev);
88         I2CSlaveClass *sc = I2C_SLAVE_GET_CLASS(candidate);
89 
90         if (sc->match_and_add(candidate, address, broadcast, current_devs)) {
91             if (!broadcast) {
92                 return true;
93             }
94         }
95     }
96 
97     /*
98      * If broadcast was true, and the list was full or empty, return true. If
99      * broadcast was false, return false.
100      */
101     return broadcast;
102 }
103 
104 /* TODO: Make this handle multiple masters.  */
105 /*
106  * Start or continue an i2c transaction.  When this is called for the
107  * first time or after an i2c_end_transfer(), if it returns an error
108  * the bus transaction is terminated (or really never started).  If
109  * this is called after another i2c_start_transfer() without an
110  * intervening i2c_end_transfer(), and it returns an error, the
111  * transaction will not be terminated.  The caller must do it.
112  *
113  * This corresponds with the way real hardware works.  The SMBus
114  * protocol uses a start transfer to switch from write to read mode
115  * without releasing the bus.  If that fails, the bus is still
116  * in a transaction.
117  */
118 int i2c_start_transfer(I2CBus *bus, uint8_t address, int recv)
119 {
120     I2CSlaveClass *sc;
121     I2CNode *node;
122     bool bus_scanned = false;
123 
124     if (address == I2C_BROADCAST) {
125         /*
126          * This is a broadcast, the current_devs will be all the devices of the
127          * bus.
128          */
129         bus->broadcast = true;
130     }
131 
132     /*
133      * If there are already devices in the list, that means we are in
134      * the middle of a transaction and we shouldn't rescan the bus.
135      *
136      * This happens with any SMBus transaction, even on a pure I2C
137      * device.  The interface does a transaction start without
138      * terminating the previous transaction.
139      */
140     if (QLIST_EMPTY(&bus->current_devs)) {
141         /* Disregard whether devices were found. */
142         (void)i2c_scan_bus(bus, address, bus->broadcast, &bus->current_devs);
143         bus_scanned = true;
144     }
145 
146     if (QLIST_EMPTY(&bus->current_devs)) {
147         return 1;
148     }
149 
150     QLIST_FOREACH(node, &bus->current_devs, next) {
151         I2CSlave *s = node->elt;
152         int rv;
153 
154         sc = I2C_SLAVE_GET_CLASS(s);
155         /* If the bus is already busy, assume this is a repeated
156            start condition.  */
157 
158         if (sc->event) {
159             trace_i2c_event("start", s->address);
160             rv = sc->event(s, recv ? I2C_START_RECV : I2C_START_SEND);
161             if (rv && !bus->broadcast) {
162                 if (bus_scanned) {
163                     /* First call, terminate the transfer. */
164                     i2c_end_transfer(bus);
165                 }
166                 return rv;
167             }
168         }
169     }
170     return 0;
171 }
172 
173 void i2c_end_transfer(I2CBus *bus)
174 {
175     I2CSlaveClass *sc;
176     I2CNode *node, *next;
177 
178     QLIST_FOREACH_SAFE(node, &bus->current_devs, next, next) {
179         I2CSlave *s = node->elt;
180         sc = I2C_SLAVE_GET_CLASS(s);
181         if (sc->event) {
182             trace_i2c_event("finish", s->address);
183             sc->event(s, I2C_FINISH);
184         }
185         QLIST_REMOVE(node, next);
186         g_free(node);
187     }
188     bus->broadcast = false;
189 }
190 
191 int i2c_send_recv(I2CBus *bus, uint8_t *data, bool send)
192 {
193     I2CSlaveClass *sc;
194     I2CSlave *s;
195     I2CNode *node;
196     int ret = 0;
197 
198     if (send) {
199         QLIST_FOREACH(node, &bus->current_devs, next) {
200             s = node->elt;
201             sc = I2C_SLAVE_GET_CLASS(s);
202             if (sc->send) {
203                 trace_i2c_send(s->address, *data);
204                 ret = ret || sc->send(s, *data);
205             } else {
206                 ret = -1;
207             }
208         }
209         return ret ? -1 : 0;
210     } else {
211         ret = 0xff;
212         if (!QLIST_EMPTY(&bus->current_devs) && !bus->broadcast) {
213             sc = I2C_SLAVE_GET_CLASS(QLIST_FIRST(&bus->current_devs)->elt);
214             if (sc->recv) {
215                 s = QLIST_FIRST(&bus->current_devs)->elt;
216                 ret = sc->recv(s);
217                 trace_i2c_recv(s->address, ret);
218             }
219         }
220         *data = ret;
221         return 0;
222     }
223 }
224 
225 int i2c_send(I2CBus *bus, uint8_t data)
226 {
227     return i2c_send_recv(bus, &data, true);
228 }
229 
230 uint8_t i2c_recv(I2CBus *bus)
231 {
232     uint8_t data = 0xff;
233 
234     i2c_send_recv(bus, &data, false);
235     return data;
236 }
237 
238 void i2c_nack(I2CBus *bus)
239 {
240     I2CSlaveClass *sc;
241     I2CNode *node;
242 
243     if (QLIST_EMPTY(&bus->current_devs)) {
244         return;
245     }
246 
247     QLIST_FOREACH(node, &bus->current_devs, next) {
248         sc = I2C_SLAVE_GET_CLASS(node->elt);
249         if (sc->event) {
250             trace_i2c_event("nack", node->elt->address);
251             sc->event(node->elt, I2C_NACK);
252         }
253     }
254 }
255 
256 static int i2c_slave_post_load(void *opaque, int version_id)
257 {
258     I2CSlave *dev = opaque;
259     I2CBus *bus;
260     I2CNode *node;
261 
262     bus = I2C_BUS(qdev_get_parent_bus(DEVICE(dev)));
263     if ((bus->saved_address == dev->address) ||
264         (bus->saved_address == I2C_BROADCAST)) {
265         node = g_malloc(sizeof(struct I2CNode));
266         node->elt = dev;
267         QLIST_INSERT_HEAD(&bus->current_devs, node, next);
268     }
269     return 0;
270 }
271 
272 const VMStateDescription vmstate_i2c_slave = {
273     .name = "I2CSlave",
274     .version_id = 1,
275     .minimum_version_id = 1,
276     .post_load = i2c_slave_post_load,
277     .fields = (VMStateField[]) {
278         VMSTATE_UINT8(address, I2CSlave),
279         VMSTATE_END_OF_LIST()
280     }
281 };
282 
283 I2CSlave *i2c_slave_new(const char *name, uint8_t addr)
284 {
285     DeviceState *dev;
286 
287     dev = qdev_new(name);
288     qdev_prop_set_uint8(dev, "address", addr);
289     return I2C_SLAVE(dev);
290 }
291 
292 bool i2c_slave_realize_and_unref(I2CSlave *dev, I2CBus *bus, Error **errp)
293 {
294     return qdev_realize_and_unref(&dev->qdev, &bus->qbus, errp);
295 }
296 
297 I2CSlave *i2c_slave_create_simple(I2CBus *bus, const char *name, uint8_t addr)
298 {
299     I2CSlave *dev = i2c_slave_new(name, addr);
300 
301     i2c_slave_realize_and_unref(dev, bus, &error_abort);
302 
303     return dev;
304 }
305 
306 static bool i2c_slave_match(I2CSlave *candidate, uint8_t address,
307                             bool broadcast, I2CNodeList *current_devs)
308 {
309     if ((candidate->address == address) || (broadcast)) {
310         I2CNode *node = g_malloc(sizeof(struct I2CNode));
311         node->elt = candidate;
312         QLIST_INSERT_HEAD(current_devs, node, next);
313         return true;
314     }
315 
316     /* Not found and not broadcast. */
317     return false;
318 }
319 
320 static void i2c_slave_class_init(ObjectClass *klass, void *data)
321 {
322     DeviceClass *k = DEVICE_CLASS(klass);
323     I2CSlaveClass *sc = I2C_SLAVE_CLASS(klass);
324     set_bit(DEVICE_CATEGORY_MISC, k->categories);
325     k->bus_type = TYPE_I2C_BUS;
326     device_class_set_props(k, i2c_props);
327     sc->match_and_add = i2c_slave_match;
328 }
329 
330 static const TypeInfo i2c_slave_type_info = {
331     .name = TYPE_I2C_SLAVE,
332     .parent = TYPE_DEVICE,
333     .instance_size = sizeof(I2CSlave),
334     .abstract = true,
335     .class_size = sizeof(I2CSlaveClass),
336     .class_init = i2c_slave_class_init,
337 };
338 
339 static void i2c_slave_register_types(void)
340 {
341     type_register_static(&i2c_bus_info);
342     type_register_static(&i2c_slave_type_info);
343 }
344 
345 type_init(i2c_slave_register_types)
346