1 /*
2  *  Test program for MSA instruction DPADD_U.D
3  *
4  *  Copyright (C) 2019  Wave Computing, Inc.
5  *  Copyright (C) 2019  Aleksandar Markovic <amarkovic@wavecomp.com>
6  *
7  *  This program is free software: you can redistribute it and/or modify
8  *  it under the terms of the GNU General Public License as published by
9  *  the Free Software Foundation, either version 2 of the License, or
10  *  (at your option) any later version.
11  *`
12  *  This program is distributed in the hope that it will be useful,
13  *  but WITHOUT ANY WARRANTY; without even the implied warranty of
14  *  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15  *  GNU General Public License for more details.
16  *
17  *  You should have received a copy of the GNU General Public License
18  *  along with this program.  If not, see <https://www.gnu.org/licenses/>.
19  *
20  */
21 
22 #include <sys/time.h>
23 #include <stdint.h>
24 
25 #include "../../../../include/wrappers_msa.h"
26 #include "../../../../include/test_inputs_128.h"
27 #include "../../../../include/test_utils_128.h"
28 
29 #define TEST_COUNT_TOTAL (                                                \
30             (PATTERN_INPUTS_SHORT_COUNT) * (PATTERN_INPUTS_SHORT_COUNT) + \
31             3 * (RANDOM_INPUTS_SHORT_COUNT) * (RANDOM_INPUTS_SHORT_COUNT))
32 
33 
34 int32_t main(void)
35 {
36     char *isa_ase_name = "MSA";
37     char *group_name = "Int Dot Product";
38     char *instruction_name =  "DPADD_U.D";
39     int32_t ret;
40     uint32_t i, j;
41     struct timeval start, end;
42     double elapsed_time;
43 
44     uint64_t b128_result[TEST_COUNT_TOTAL][2];
45     uint64_t b128_expect[TEST_COUNT_TOTAL][2] = {
46         { 0xfffffffc00000002ULL, 0xfffffffc00000002ULL, },    /*   0  */
47         { 0xfffffffc00000002ULL, 0xfffffffc00000002ULL, },
48         { 0x5555554eaaaaaaaeULL, 0x5555554eaaaaaaaeULL, },
49         { 0xfffffff800000004ULL, 0xfffffff800000004ULL, },
50         { 0x9999998e6666666cULL, 0x9999998e6666666cULL, },
51         { 0xfffffff400000006ULL, 0xfffffff400000006ULL, },
52         { 0x71c71c638e38e395ULL, 0x1c71c70de38e38ebULL, },
53         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
54         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },    /*   8  */
55         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
56         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
57         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
58         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
59         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
60         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
61         { 0xfffffff000000008ULL, 0xfffffff000000008ULL, },
62         { 0x55555542aaaaaab4ULL, 0x55555542aaaaaab4ULL, },    /*  16  */
63         { 0x55555542aaaaaab4ULL, 0x55555542aaaaaab4ULL, },
64         { 0x38e38e2471c71c7cULL, 0x38e38e2471c71c7cULL, },
65         { 0xaaaaaa9555555560ULL, 0xaaaaaa9555555560ULL, },
66         { 0xbbbbbba444444450ULL, 0xbbbbbba444444450ULL, },
67         { 0xffffffe80000000cULL, 0xffffffe80000000cULL, },
68         { 0xf684bd87b425ed16ULL, 0xbda12f4e97b425faULL, },
69         { 0x5555553aaaaaaab8ULL, 0x5555553aaaaaaab8ULL, },
70         { 0xffffffe40000000eULL, 0xffffffe40000000eULL, },    /*  24  */
71         { 0xffffffe40000000eULL, 0xffffffe40000000eULL, },
72         { 0x71c71c54e38e38f2ULL, 0x71c71c54e38e38f2ULL, },
73         { 0xaaaaaa8d55555564ULL, 0xaaaaaa8d55555564ULL, },
74         { 0x33333314ccccccdcULL, 0x33333314ccccccdcULL, },
75         { 0x55555536aaaaaabaULL, 0x55555536aaaaaabaULL, },
76         { 0xd097b40684bda13fULL, 0xb425ece9f684bdb1ULL, },
77         { 0xffffffe000000010ULL, 0xffffffe000000010ULL, },
78         { 0x9999997666666678ULL, 0x9999997666666678ULL, },    /*  32  */
79         { 0x9999997666666678ULL, 0x9999997666666678ULL, },
80         { 0xaaaaaa8555555568ULL, 0xaaaaaa8555555568ULL, },
81         { 0x3333330ccccccce0ULL, 0x3333330ccccccce0ULL, },
82         { 0x7ae147851eb85200ULL, 0x7ae147851eb85200ULL, },
83         { 0xcccccca333333348ULL, 0xcccccca333333348ULL, },
84         { 0xf49f49c93e93e954ULL, 0xb05b0584b60b60ccULL, },
85         { 0x66666639999999b0ULL, 0x66666639999999b0ULL, },
86         { 0xcccccc9f3333334aULL, 0xcccccc9f3333334aULL, },    /*  40  */
87         { 0xcccccc9f3333334aULL, 0xcccccc9f3333334aULL, },
88         { 0x111110e2eeeeef06ULL, 0x111110e2eeeeef06ULL, },
89         { 0x33333304cccccce4ULL, 0x33333304cccccce4ULL, },
90         { 0x851eb822e147ae2cULL, 0x851eb822e147ae2cULL, },
91         { 0x9999996a6666667eULL, 0x9999996a6666667eULL, },
92         { 0xe38e38b3e93e9401ULL, 0xd27d27a2c71c71dfULL, },
93         { 0xffffffd000000018ULL, 0xffffffd000000018ULL, },
94         { 0x71c71c3f8e38e3a7ULL, 0x1c71c6e9e38e38fdULL, },    /*  48  */
95         { 0x71c71c3f8e38e3a7ULL, 0x1c71c6e9e38e38fdULL, },
96         { 0x684bd9df425ed0b1ULL, 0xda12f6507b425eebULL, },
97         { 0xe38e38af1c71c736ULL, 0x38e38e03c71c71e2ULL, },
98         { 0x0b60b5d527d27d42ULL, 0x1c71c6e549f49f66ULL, },
99         { 0x5555551eaaaaaac5ULL, 0x5555551daaaaaac7ULL, },
100         { 0x6e9e061a4587e6d2ULL, 0x2c3f35816b74f050ULL, },
101         { 0xc71c718e38e38e54ULL, 0x71c71c378e38e3acULL, },
102         { 0x5555551aaaaaaac7ULL, 0x55555519aaaaaac9ULL, },    /*  56  */
103         { 0x5555551aaaaaaac7ULL, 0x55555519aaaaaac9ULL, },
104         { 0xb425eccda12f6869ULL, 0xed097b05bda12f87ULL, },
105         { 0xe38e38a71c71c73aULL, 0x38e38dfbc71c71e6ULL, },
106         { 0x5555551777777796ULL, 0xeeeeeeb0aaaaaacaULL, },
107         { 0x71c71c338e38e3adULL, 0x1c71c6dde38e3903ULL, },
108         { 0xca4587a781948b2fULL, 0x61f9ad9406522c5fULL, },
109         { 0xffffffc000000020ULL, 0xffffffc000000020ULL, },
110         { 0x4f10a2061266c2b0ULL, 0x132f36fdaebdb734ULL, },    /*  64  */
111         { 0xe173955d0a3d6d94ULL, 0x2de485b19f4dac90ULL, },
112         { 0x5a9b88364205b90cULL, 0xe3c89435af2c3022ULL, },
113         { 0xa5506be1e16f25e8ULL, 0xb5d99e2c137656f2ULL, },
114         { 0x37b35f38d945d0ccULL, 0xd08eece004064c4eULL, },
115         { 0x46c3bc088c276755ULL, 0xd3ba26318bdfb302ULL, },
116         { 0x288f407241d1cf13ULL, 0xe4e2d49bf38e1598ULL, },
117         { 0xb38b871fddd1234aULL, 0xfd7386eef5421908ULL, },
118         { 0x2cb379f915996ec2ULL, 0xb357957305209c9aULL, },    /*  72  */
119         { 0x0e7efe62cb43d680ULL, 0xc48043dd6cceff30ULL, },
120         { 0x0966991866fb9f64ULL, 0x3d26b2ddb9e53ac1ULL, },
121         { 0x9961eeb6d99e4586ULL, 0xc46ae4f9206e6e69ULL, },
122         { 0xe416d2627907b262ULL, 0x967beeef84b89539ULL, },
123         { 0x6f13191015070699ULL, 0xaf0ca142866c98a9ULL, },
124         { 0xff0e6eae87a9acbbULL, 0x3650d35decf5cc51ULL, },
125         { 0x52fc668a5f0acfa8ULL, 0xf4ee28afafeae691ULL, },
126         { 0x8e335693216733a0ULL, 0xebf294e7e1b7da9fULL, },    /*  80  */
127         { 0x242889888a96ab79ULL, 0x1029e138e123d999ULL, },
128         { 0xa117d2200713df49ULL, 0xa936d669733f9d55ULL, },
129         { 0xea5eaf7c9d524d27ULL, 0x533cccdee6d6ad0dULL, },
130         { 0x8014252a44e6c8b7ULL, 0x5139a5a2ff917d2dULL, },
131         { 0x12e82535692eaeadULL, 0x6c74742f3b1a47edULL, },
132         { 0x6bfad303a455af5fULL, 0xa4da8c7753e03c42ULL, },
133         { 0xd7d1673544f2b638ULL, 0x37b76789ca48e5eaULL, },
134         { 0x55b32da89b1ab874ULL, 0x1136a063291c7430ULL, },    /*  88  */
135         { 0xd8fa08f2c6e9500cULL, 0x15e6a0cfa25fce7eULL, },
136         { 0xfb6ec0cb14ee46c0ULL, 0x85e0ab776ca06e87ULL, },
137         { 0x7170744f4e43c44fULL, 0x17ee0476d6f5954fULL, },
138         { 0xba3c379c6c72bc03ULL, 0xf4a9e78f41249a57ULL, },
139         { 0x923c97db1bf9726fULL, 0x0c32ba5fa7655f81ULL, },
140         { 0x08ff0c9a1b07a05dULL, 0x7e05b61db39e9936ULL, },
141         { 0x16e37ad7ce0b9d05ULL, 0x3aa86333e7ca176eULL, },
142         { 0x4396d885c2a89499ULL, 0x3259d55cbbd56e50ULL, },    /*  96  */
143         { 0x86505184e2848fd5ULL, 0xfbe6ef6acb48e5d8ULL, },
144         { 0xf19ecbd2f0d9cb45ULL, 0x102d8886fc3ba2e4ULL, },
145         { 0x985e99073ad19cddULL, 0x0fae6c4a600fe8c8ULL, },
146         { 0x40076fc7eafc7c7aULL, 0x18d0edce69b82b2cULL, },
147         { 0xc633d71b8943703fULL, 0x236de461c55a6368ULL, },
148         { 0xb2b44afd6be31aa8ULL, 0x366f22bc07569aa2ULL, },
149         { 0x832148e5fdab87bfULL, 0x3b138b90c7099132ULL, },
150         { 0x9388b611f0bd2a51ULL, 0xc95a7ba92714878aULL, },    /* 104  */
151         { 0xa598b2d7184dc31bULL, 0x02d31201c0d1f3a9ULL, },
152         { 0x26b9d9c7d27ede61ULL, 0x84305afc61d71edcULL, },
153         { 0xd994c5da2b819a07ULL, 0xda2ed7517c38dd10ULL, },
154         { 0x490b25198d55f4bbULL, 0xa54a7d332b34db68ULL, },
155         { 0x9d17b063519fea3aULL, 0x1d81a65b0c1f8770ULL, },
156         { 0x000b355286100badULL, 0x35e1e113d0b4c238ULL, },
157         { 0x316423fb99a16a0dULL, 0xddbffc10af9e9540ULL, },
158     };
159 
160     reset_msa_registers();
161 
162     gettimeofday(&start, NULL);
163 
164     for (i = 0; i < PATTERN_INPUTS_SHORT_COUNT; i++) {
165         for (j = 0; j < PATTERN_INPUTS_SHORT_COUNT; j++) {
166             do_msa_DPADD_U_D(b128_pattern[i], b128_pattern[j],
167                              b128_result[PATTERN_INPUTS_SHORT_COUNT * i + j]);
168         }
169     }
170 
171     for (i = 0; i < RANDOM_INPUTS_SHORT_COUNT; i++) {
172         for (j = 0; j < RANDOM_INPUTS_SHORT_COUNT; j++) {
173             do_msa_DPADD_U_D(b128_random[i], b128_random[j],
174                              b128_result[((PATTERN_INPUTS_SHORT_COUNT) *
175                                           (PATTERN_INPUTS_SHORT_COUNT)) +
176                                          RANDOM_INPUTS_SHORT_COUNT * i + j]);
177         }
178     }
179 
180     for (i = 0; i < RANDOM_INPUTS_SHORT_COUNT; i++) {
181         for (j = 0; j < RANDOM_INPUTS_SHORT_COUNT; j++) {
182             do_msa_DPADD_U_D__DDT(b128_random[i], b128_random[j],
183                                   b128_result[
184                                       ((PATTERN_INPUTS_SHORT_COUNT) *
185                                        (PATTERN_INPUTS_SHORT_COUNT)) +
186                                       ((RANDOM_INPUTS_SHORT_COUNT) *
187                                        (RANDOM_INPUTS_SHORT_COUNT)) +
188                                       RANDOM_INPUTS_SHORT_COUNT * i + j]);
189         }
190     }
191 
192     for (i = 0; i < RANDOM_INPUTS_SHORT_COUNT; i++) {
193         for (j = 0; j < RANDOM_INPUTS_SHORT_COUNT; j++) {
194             do_msa_DPADD_U_D__DSD(b128_random[i], b128_random[j],
195                                   b128_result[
196                                       ((PATTERN_INPUTS_SHORT_COUNT) *
197                                        (PATTERN_INPUTS_SHORT_COUNT)) +
198                                       (2 * (RANDOM_INPUTS_SHORT_COUNT) *
199                                        (RANDOM_INPUTS_SHORT_COUNT)) +
200                                       RANDOM_INPUTS_SHORT_COUNT * i + j]);
201         }
202     }
203 
204     gettimeofday(&end, NULL);
205 
206     elapsed_time = (end.tv_sec - start.tv_sec) * 1000.0;
207     elapsed_time += (end.tv_usec - start.tv_usec) / 1000.0;
208 
209     ret = check_results_128(isa_ase_name, group_name, instruction_name,
210                             TEST_COUNT_TOTAL, elapsed_time,
211                             &b128_result[0][0], &b128_expect[0][0]);
212 
213     return ret;
214 }
215