/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/ |
H A D | dest_axi_mm.v | 80 output [ 2:0] m_axi_awprot, port
|
H A D | request_arb.v | 67 output [ 2:0] m_axi_awprot, port
|
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/platform/ |
H A D | almaif_decoder.vhdl | 86 m_axi_awprot : out std_logic_vector(3-1 downto 0); port
|
H A D | ffaccel_toplevel.vhdl | 51 m_axi_awprot : out std_logic_vector(2 downto 0); port 316 m_axi_awprot : out std_logic_vector(3-1 downto 0); port in ffaccel_toplevel.structural.tta_accel
|
H A D | tta-accel.vhdl | 102 m_axi_awprot : out std_logic_vector(3-1 downto 0); port
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/ |
H A D | axi_dma_master.v | 28 …output [2 : 0] m_axi_awprot, // Protection type. This signal indicates the privilege and securit… port
|
H A D | axi_dma_fifo.v | 39 …output [2 : 0] m_axi_awprot, // Protection type. This signal indicates the privilege and securit… port
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/ |
H A D | rfnoc_block_axi_ram_fifo.v | 136 …output wire [ NUM_PORTS*3-1:0] m_axi_awprot, // Protection type. This signal indicates t… port
|
H A D | axi_ram_fifo.v | 115 …output wire [ 2:0] m_axi_awprot, // Protection type. This signal indicates the privi… port
|
H A D | rfnoc_block_axi_ram_fifo_tb.sv | 121 wire [ NUM_PORTS*3-1:0] m_axi_awprot; // Unused master output net
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_replay/ |
H A D | rfnoc_block_replay.v | 95 output wire [ (NUM_PORTS*3)-1:0] m_axi_awprot, port
|
H A D | rfnoc_block_replay_tb.sv | 158 wire [ NUM_PORTS*3-1:0] m_axi_awprot; // Unused master output net
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ |
H A D | e320_rfnoc_image_core.v | 55 output wire [ 6-1:0] m_axi_awprot, port
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ |
H A D | n300_bist_image_core.v | 55 output wire [ 12-1:0] m_axi_awprot, port
|
H A D | n300_rfnoc_image_core.v | 55 output wire [ 12-1:0] m_axi_awprot, port
|
H A D | n320_bist_image_core.v | 73 output wire [ 12-1:0] m_axi_awprot, port
|
H A D | n310_bist_image_core.v | 73 output wire [ 12-1:0] m_axi_awprot, port
|
H A D | n320_rfnoc_image_core.v | 73 output wire [ 12-1:0] m_axi_awprot, port
|
H A D | n310_rfnoc_image_core.v | 73 output wire [ 12-1:0] m_axi_awprot, port
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ |
H A D | x310_rfnoc_image_core.v | 74 output wire [ 12-1:0] m_axi_awprot, port
|
H A D | x300_rfnoc_image_core.v | 74 output wire [ 12-1:0] m_axi_awprot, port
|