Home
last modified time | relevance | path

Searched defs:m_axi_rdata (Results 1 – 21 of 21) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/
H A Dsrc_axi_mm.v81 input [DMA_DATA_WIDTH-1:0] m_axi_rdata, port
H A Drequest_arb.v95 input [DMA_DATA_WIDTH_SRC-1:0] m_axi_rdata, port
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/platform/
H A Dalmaif_decoder.vhdl103 m_axi_rdata : in std_logic_vector(mem_dataw_g-1 downto 0) port
H A Dffaccel_toplevel.vhdl62 m_axi_rdata : in std_logic_vector(31 downto 0); port
327 m_axi_rdata : in std_logic_vector(32-1 downto 0); port in ffaccel_toplevel.structural.tta_accel
H A Dtta-accel.vhdl119 m_axi_rdata : in std_logic_vector(dmem_data_width_g-1 downto 0); port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/
H A Daxi_dma_master.v71 input [DWIDTH-1 : 0] m_axi_rdata, // Read data. port
H A Daxi_dma_fifo.v82 input [63 : 0] m_axi_rdata, // Read data. port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Drfnoc_block_axi_ram_fifo.v175 input wire [NUM_PORTS*MEM_DATA_W-1:0] m_axi_rdata, // Read data. port
H A Daxi_ram_fifo.v154 input wire [ MEM_DATA_W-1:0] m_axi_rdata, // Read data. port
H A Drfnoc_block_axi_ram_fifo_tb.sv156 wire [NUM_PORTS*MEM_DATA_W-1:0] m_axi_rdata; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_replay/
H A Drfnoc_block_replay.v130 input wire [ (NUM_PORTS*MEM_DATA_W)-1:0] m_axi_rdata, port
H A Drfnoc_block_replay_tb.sv193 wire [NUM_PORTS*MEM_DATA_W-1:0] m_axi_rdata; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320_rfnoc_image_core.v86 input wire [128-1:0] m_axi_rdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn300_bist_image_core.v86 input wire [256-1:0] m_axi_rdata, port
H A Dn300_rfnoc_image_core.v86 input wire [256-1:0] m_axi_rdata, port
H A Dn320_bist_image_core.v104 input wire [256-1:0] m_axi_rdata, port
H A Dn310_bist_image_core.v104 input wire [256-1:0] m_axi_rdata, port
H A Dn320_rfnoc_image_core.v104 input wire [256-1:0] m_axi_rdata, port
H A Dn310_rfnoc_image_core.v104 input wire [256-1:0] m_axi_rdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx310_rfnoc_image_core.v105 input wire [256-1:0] m_axi_rdata, port
H A Dx300_rfnoc_image_core.v105 input wire [256-1:0] m_axi_rdata, port